EE 361L Digital Systems and Computer Design Laboratory

Similar documents
FPGA Design Tutorial

Post-Synthesis Simulation. VITAL Models, SDF Files, Timing Simulation

VHDL introduction Notes

Lab 6 : Introduction to Verilog

Don t expect to be able to write and debug your code during the lab session.

AccelDSP tutorial 2 (Matlab.m to HDL for Xilinx) Ronak Gandhi Syracuse University Fall

Design Flow Tutorial

ISE Design Suite Software Manuals and Help

FPGA Design Flow 1. All About FPGA

Lab 3 Verilog Simulation Mapping

Applications Note. HDL Simulation FPGA Design Methodology. October 15, Revision 1.0

Timing Analysis in Xilinx ISE

Steps to run compxlib to compile Xilinx libraries in Modelsim SE 10.1 for EE101/EE201L/EE560 students as well as USC ITS

Chapter 1. OrCAD/ModelSim Tutorial for CPLDs. Design Description

CPEN 230L: Introduction to Digital Logic Laboratory Lab #6: Verilog and ModelSim

2. Learn how to use Bus Functional Models (BFM) and write test cases for verifying your design.

FPGA briefing Part II FPGA development DMW: FPGA development DMW:

Circuit Design and Simulation with VHDL 2nd edition Volnei A. Pedroni MIT Press, 2010 Book web:

475 Electronics for physicists Introduction to FPGA programming

ECE 501- Project in lieu of thesis VIKAS YELAGONDANAHALLI. Summer 2007

Advanced module: Video en/decoder on Virtex 5

ELEC 204 Digital System Design LABORATORY MANUAL

Digital Logic Design Lab

Tutorial on Simulation using Aldec Active-HDL Ver 1.0

Overview. Design flow. Principles of logic synthesis. Logic Synthesis with the common tools. Conclusions

Chapter 9: Integration of Full ASIP and its FPGA Implementation

Getting Started with Xilinx WebPack 13.1

Experiment 3 Introduction to Verilog Programming using Quartus II software Prepared by: Eng. Shatha Awawdeh, Eng.Eman Abu_Zaitoun

Revision: February 27, E Main Suite D Pullman, WA (509) Voice and Fax

COS/ELE 375 Verilog & Design Tools Tutorial

Standard Cell Based Design Flow Using Modelsim, Buildgates, and Silicon Ensemble

TLL5000 Electronic System Design Base Module

5 January ModelSim v5.7 Quick Reference Guide

Introduction to WebPACK 3.1. Using XILINX WebPACK Software to Create CPLD Designs

Active-HDL. Getting Started

TLL5000 Electronic System Design Base Module. Getting Started Guide, Ver 3.4

Sunburst Design - Comprehensive SystemVerilog Design & Synthesis by Recognized Verilog & SystemVerilog Guru, Cliff Cummings of Sunburst Design, Inc.

Xilinx Project Navigator Reference Guide

ChipScope Demo Instructions

CHAPTER 3 METHODOLOGY. 3.1 Analysis of the Conventional High Speed 8-bits x 8-bits Wallace Tree Multiplier

VHDL VITAL. Simulation Guide For Libero SoC v11.8

Case Study on DiaHDL: A Web-based Electronic Design Automation Tool for Education Purpose

01 1 Electronic Design Automation (EDA) the correctness, testability, and compliance of a design is checked by software

VHDL for Synthesis. Course Description. Course Duration. Goals

Nikhil Gupta. FPGA Challenge Takneek 2012

Lecture 2 Hardware Description Language (HDL): VHSIC HDL (VHDL)

Using XILINX WebPACK Software to Create CPLD Designs

University of California, Davis Department of Electrical and Computer Engineering. EEC180B DIGITAL SYSTEMS Spring Quarter 2018

Verilog Simulation Mapping

01-1 Electronic Design Automation (EDA) The use of software to automate electronic (digital and analog) design.

Lab 2 Designing with Verilog

EE 330 Spring Laboratory 2: Basic Boolean Circuits

Cell-Based Design Flow. TA : 吳廸優

ANADOLU UNIVERSITY DEPARTMENT OF ELECTRICAL AND ELECTRONICS ENGINEERING. EEM Digital Systems II

Lecture 5: Aldec Active-HDL Simulator

Using Project Navigator

Xilinx ChipScope ICON/VIO/ILA Tutorial

Advanced FPGA Design. Jan Pospíšil, CERN BE-BI-BP ISOTDAQ 2018, Vienna

Tutorial on FPGA Design Flow based on Aldec Active HDL. Ver 1.5

EE183 LAB TUTORIAL. Introduction. Projects. Design Entry

Using ChipScope. Overview. Detailed Instructions: Step 1 Creating a new Project

University of California, Davis Department of Electrical and Computer Engineering. Lab 1: Implementing Combinational Logic in the MAX10 FPGA

ECT 224: Digital Computer Fundamentals Using Xilinx StateCAD

Basic HLS Tutorial. using C++ language and Vivado Design Suite to design two frequencies PWM. modulator system

Task 8: Extending the DLX Pipeline to Decrease Execution Time

JEE2600 INTRODUCTION TO DIGITAL LOGIC AND COMPUTER DESIGN. ModelSim Tutorial. Prepared by: Phil Beck 9/8/2008. Voter Function

Lecture 1: Introduction Course arrangements Recap of basic digital design concepts EDA tool demonstration

Vivado Tutorial. Introduction. Objectives. Procedure. Lab Workbook. Vivado Tutorial

Unit 5. Hardware description languages

Actel Libero TM Integrated Design Environment v2.3 Structural Schematic Flow Design Tutorial

8. Best Practices for Incremental Compilation Partitions and Floorplan Assignments

Hardware Synthesis. References

Generating Parameterized Modules and IP Cores

Implementing a Verilog design into the UWEE CPLD Development Board Using Xilinx s ISE 7.1i Software: A Tutorial

Engineering 1630 Fall Simulating XC9572XL s on the ENGN1630 CPLD-II Board

DESIGN STRATEGIES & TOOLS UTILIZED

Using the Xilinx CORE Generator in Foundation ISE 3.1i with ModelSim

ECE 4514 Digital Design II. Spring Lecture 20: Timing Analysis and Timed Simulation

EE 4755 Digital Design Using Hardware Description Languages

PINE TRAINING ACADEMY

EE 330 Laboratory Experiment Number 11

EE595. Part VIII Overall Concept on VHDL. EE 595 EDA / ASIC Design Lab

Cover TBD. intel Quartus prime Design software

Design a three-input, two-output sequential digital circuit which functions as a digital locking mechanism. LOCK ALARM

Laboratory Exercise 3

Programmable Logic Devices HDL-Based Design Flows CMPE 415

Hardware Description Languages (HDLs) Verilog

Cover TBD. intel Quartus prime Design software

Using Synplify Pro, ISE and ModelSim

ISim Hardware Co-Simulation Tutorial: Accelerating Floating Point FFT Simulation

DE2 Board & Quartus II Software

Tutorial on FPGA Design Flow based on Xilinx ISE WebPack and ModelSim. ver. 2.0

Revision: February 27, E Main Suite D Pullman, WA (509) Voice and Fax

Project 1a: Hello World!

ISim Hardware Co-Simulation Tutorial: Accelerating Floating Point FFT Simulation

Digital Design Using VHDL Using Xilinx s Tool for Synthesis and ModelSim for Verification

FPGA Design Challenge :Techkriti 14 Digital Design using Verilog Part 1

IP Module Evaluation Tutorial

EE 4755 Digital Design Using Hardware Description Languages

Unleashing the Power of the Command-Line Interface. Jeremy W. Webb UC Davis VLSI Computation Laboratory

Transcription:

University of Hawaii Department of Electrical Engineering EE 361L Digital Systems and Computer Design Laboratory Timing Simulation Version 1.0 10/10/2003 This document is a quick tutorial on performing Timing simulation. First, let us take a quick look at the design flow in Figure-1. Design Problem HDL model of a circuit Design Circuit Synthesize model to get a gate level description Verify/Simulate Functionality (Debugging) HDL model of a circuit (functional model) Verify/Simulate Logic and Timing Implement in hardware Make sure design is consistent in hardware Figure-1: Design Flow. Note that functional simulation tests only the functionality of your design, i. e. the correctness of the outputs in your design with respect to the inputs. However, your

circuits have to be implemented using real gates, flip-flops and interconnects with delays and non-ideal behavior, and you have to validate your design for the timing specifications. Note that when you synthesize your design and do a Placement/Route, you make use of vendor libraries that include timing models for their designs. Here is an example. Consider Figure-2(a), that provides an ideal model of an OR gate. When you use this gate, you only consider the functionality. Consider Figure-2(b), which consists of models of OR gates from vendors. Note that the first gate has a propagation delay of 1 ms, while the second gate has a propagation delay of 3 ms. Suppose your synthesis used the OR gate with 3ms delay and that is unacceptable to your timing requirements, you can constrain the synthesis tool to use the OR gate with 1ms delay. Propagation delay= 1ms Propagation delay= 3ms (a) Ideal OR gate - Functional model (b) OR gates from vendor libraries Figure-2: Simple example of Timing model. Now, let us consider using the ModelSim tool to perform a timing simulation for your design. This tutorial assumes that you already have synthesized your design and you are using the Xilinx FPGAs to implement your design. Also, note that this tutorial uses the design project from the Webpack tutorial. STEP 1: After you have synthesized the design in <<SetLed.v>> using Xilinx WebPack and performed a Place-and-Route, generate a PAR simulation model as shown in Figure- 3. This operation creates two files, <<display_timesim.v>> & <<display_timesim.sdf>>. The <<display_timesim.v>> is a verilog description of your design, which makes use of Xilinx libraries. The SDF stands for Standard Delay Format. SDF Output Files contain timing delay information that allow you to perform back-annotation for simulation with standards-compliant VHDL and Verilog HDL simulators; and timing analysis and resynthesis with synthesis tools.

Note: This process generates warnings during the process. For successful simulation, look for the file <<glbl.v>> in the following path $/verilog/src/ at the Xilinx install directory. Figure-3: Generating PAR Simulation model. STEP 2: Add the files <<display_timesim.v>> and the <<glbl.v>>, along with the testbench for your design. Note that you might have to change the order of signals in the design instantiation in your test-bench. Compile all the files as in Figure-4.

Figure-4: Compiling the source files. STEP 3: Before you can simulate your design, you have to include the SDF file from the Xilinx WebPACK. To add the file, click on the Simulate icon and follow steps shown in Figure-5. After you add the file, you should get a screen as in Figure-5(a). (a)

(b) Figure-5: Adding SDF file. STEP 4: Loading your design is similar to functional simulation. However, you have to know all the design libraries to be included (similar to the.h files in C programming). Click on all the designs in the WORK library. Also click on the SIMPRIMS_VER and UNISIM_VER libraries, as shown in Figure-6, to load your design. Figure-6: Loading your design. ModelSim also accepts command-line arguments and lets you scripting such as TCL. Here is an example, below:

vsim -L simprims_ver -L unisims_ver sdftyp C:/temp/361/Lab4/test/display_timesim.sdf -sdfnoerror work.display work.glbl work.test_display The above command performs the same function as STEPS 3-4. For more information, please refer to the Help and manuals from ModelSim. Note that these scripts are very useful and used by professionals extensively, as scripts can preserve the settings for compilation. However, the GUI approach is very convenient for beginners and students. An example of successful compilation is shown in Figure-7. If your design is satisfactory (both functionality & timing), you can generate the programming bits for the FPGA and load the gate array with your design. Figure-7: Simulation.