Investigation of the foot-exposure impact in hyper-na immersion lithography when using thin anti-reflective coating

Similar documents
Reflectivity metrics for optimization of anti-reflection coatings on wafers with topography

Materials for and performance of multilayer lithography schemes

Three-dimensional imaging of 30-nm nanospheres using immersion interferometric lithography

CSPLAT for Photolithography Simulation

Challenges in Manufacturing of optical and EUV Photomasks Martin Sczyrba

A New Method to Characterize Conformality of BARC Coatings Runhui Huang, Heping Wang, Anwei Qin Brewer Science, Inc., 2401 Brewer Dr.

Optimization of Photolithography Process Using Simulation

Optical Topography Measurement of Patterned Wafers

Photoresist Qualification using Scatterometry CD

Preliminary Investigation of Shot Noise, Dose, and Focus Latitude for E-Beam Direct Write

Benefiting from polarization effects on high-na imaging

Study of Air Bubble Induced Light Scattering Effect On Image Quality in 193 nm Immersion Lithography

Defect Repair for EUVL Mask Blanks

Strengthening the leadership

The Death of the Aerial Image

Design Rule Optimization of Regular layout for Leakage Reduction in Nanoscale Design

Maaike Op de Beeck, Erik Sleeckx, Patrick Jaenen, Eddy Kunnen, IMEC Leuven, Belgium Wendy Yeh, Applied Materials Santa Clara, CA

2013 International Workshop on EUV Lithography Hanyang University

Supreme lithographic performance by simple mask layout based on lithography and layout co-optimization

Outline. Abstract. Modeling Approach

The impact of resist model on mask 3D simulation accuracy beyond. 40nm node memory patterns

Visible-frequency dielectric metasurfaces for multi-wavelength achromatic and highly-dispersive holograms

Image Degradation due to Phase Effects in Chromeless Phase Lithography

Hybrid hotspot detection using regression model and lithography simulation

Benefiting from Polarization: Effects at High-NA Imaging

Enhanced Lumped Parameter Model for Photolithography

Coupling of surface roughness to the performance of computer-generated holograms

College Physics 150. Chapter 25 Interference and Diffraction

Bringing Patterned Media to Production with Value Added Metrology

OPC flare and optical modeling requirements for EUV

Immersion Microlithography at 193 nm with a Talbot Prism Interferometer

T-Solar Overview. * Patent-pending

Advanced Simulation Techniques for Thick Photoresist Lithography

Article 3D Topography Mask Aligner

Computational Lithography Turning Physics into Yield

Approaches to the Metrology Challenges for DSA Hole

On the quality of measured optical aberration coefficients using phase wheel monitor

Topic 9: Wave phenomena - AHL 9.3 Interference

Coping with Variability in Semiconductor Manufacturing

LITHOGRAPHIC PERFORMANCE OF RECENT DUV PHOTORESISTS. Bob Streefkerk, Koen van Ingen Schenau and Corine Buijk. ASML Veldhoven, The Netherlands

Overlay accuracy fundamentals

ABSTRACT. KEYWORDS: AIMS, Bossung plot, linewidth versus defocus, quartz height, EAPSM, repair verification, MeRiT, Litho simulation INTRODUCTION

New methodology to characterize printing performance of mask materials by analyzing diffraction efficiency

SuMMIT Application Notes:

Automated aerial image based CD metrology initiated by pattern marking with photomask layout data

Lithography Simulation

Mask patterning challenges for EUV N7 and beyond. Date: 2018/02/27

index of refraction-light speed

Nishtha Bhatia Washington High School July 31 st, 2014

Investigation of interactions between metrology and lithography with a CD SEM simulator

Manufacturing Challenges and their Implications on Design

Photoresist Modulation Curves

Chapter 2: Wave Optics

High spatial resolution measurement of volume holographic gratings

WORCESTER POLYTECHNIC INSTITUTE

SUSS MJB4. Manual Aligner For Research, Development and Operator Assisted Production October, 2009

Advanced modelling of gratings in VirtualLab software. Site Zhang, development engineer Lignt Trans

Phase. E = A sin(2p f t+f) (wave in time) or E = A sin(2p x/l +f) (wave in space)

Plane Wave Imaging Using Phased Array Arno Volker 1

Effective Medium Theory, Rough Surfaces, and Moth s Eyes

Reproducing the hierarchy of disorder for Morpho-inspired, broad-angle color reflection

Simple Spatial Domain Filtering

Iterative procedure for in-situ EUV optical testing with an incoherent source

CS 348B Project Report Mingyu Gao, Jing Pu

Sub-wavelength Holographic Lithography: The Possibilities And Advantages. (NANOTECH SWHL, 6-1 Kazarmenny per., Moscow, Russia)

EUV Lithography and Overlay Control

Copyright 2002 by the Society of Photo-Optical Instrumentation Engineers.

NEAR-IR BROADBAND POLARIZER DESIGN BASED ON PHOTONIC CRYSTALS

Sample study by 3D optical profiler Contour Elite K for KTH university.

Physics 123 Optics Review

Chapter 8: Physical Optics

College Physics B - PHY2054C

OPTICAL TECHNOLOGIES FOR TSV INSPECTION Arun A. Aiyer, Frontier Semiconductor 2127 Ringwood Ave, San Jose, California 95131

LITHOGRAPHY CHALLENGES FOR LEADING EDGE 3D PACKAGING APPLICATIONS

MICHELSON S INTERFEROMETER

SAMPLE TUTORIAL. Introduction. Running Sample on UNIX systems. Barry Paul Linder, Spring 1996.

SMO Photomask Inspection in the Lithographic Plane

Low k 1 Logic Design using Gridded Design Rules

Waves & Oscillations

PHY132 Introduction to Physics II Class 5 Outline:

SIMULATION FOR ADVANCED MASK ALIGNER LITHOGRAPHY

specular diffuse reflection.

Inside PROLITH. A Comprehensive Guide to Optical Lithography Simulation. For the PROLITH Family of Lithography Simulation Tools, v5.

Multi-Level Overlay Techniques for Improving DPL Overlay Control

ABSTRACT. Bioinspired antireflection (AR) structures have significant advantages over traditional

Interference Effects. 6.2 Interference. Coherence. Coherence. Interference. Interference

CHAPTER 26 INTERFERENCE AND DIFFRACTION

E x Direction of Propagation. y B y

ARRAYS OF MICRO-PRISMS AND MICRO-MIRRORS FOR INFRARED LIGHT BASED ON As 2 S 3 -As 2 Se 3 PHOTORESISTS

ANOMALOUS SCATTERING FROM SINGLE CRYSTAL SUBSTRATE

Introduction. Part I: Measuring the Wavelength of Light. Experiment 8: Wave Optics. Physics 11B

Analysis of Cornell Electron-Positron Storage Ring Test Accelerator's Double Slit Visual Beam Size Monitor

Evanescent wave imaging in optical lithography

ACCURATE TEXTURE MEASUREMENTS ON THIN FILMS USING A POWDER X-RAY DIFFRACTOMETER

f. (5.3.1) So, the higher frequency means the lower wavelength. Visible part of light spectrum covers the range of wavelengths from

A 4. An electromagnetic wave travelling through a transparent medium is given by y. in S units. Then what is the refractive index of the medium?

Introduction to Diffraction Gratings

Mirror Example Consider a concave mirror radius -10 cm then = = Now consider a 1 cm candle s = 15 cm from the vertex Where is the image.

3. Image formation, Fourier analysis and CTF theory. Paula da Fonseca

NEW OPTICAL MEASUREMENT TECHNIQUE FOR SI WAFER SURFACE DEFECTS USING ANNULAR ILLUMINATION WITH CROSSED NICOLS

Transcription:

Investigation of the foot-exposure impact in hyper-na immersion lithography when using thin anti-reflective coating Darron Jurajda b, Enrico Tenaglia a, Jonathan Jeauneau b, Danilo De Simone a, Zhimin Zhu b, Paolo Piazza a, Paolo Piacentini a, Paolo Canestrari a a Numonyx S.r.l., R&D Technology Development, Via C. Olivetti 2, 20041 Agrate Brianza, Italy b Brewer Science, Inc., 2401 Brewer Drive, Rolla, Missouri 65401, USA Key words: immersion, foot exposure (), effective reflectivity (ER), full diffraction model (FDM), bottom antireflective coating (BARC) ABSTRACT With immersion lithography at numerical aperture (NA) at or exceeding 1.2, the process window optimization of 42 nm line/space (L/S) patterning is a difficult challenge as the k1 factor approaches 0.26, very close to the theoretical limit. Advanced immersion resists used to print these patterns are extremely thin and do not enable use of a thick bottom antireflective coating (BARC) due to etch selectivity limitations. Conventional BARC optimization based on reflectivity simulation alone does not provide an accurate process window as the resist profile is not fully correlated with substrate reflectivity. Reference experimental tests show that, by varying BARC thickness, we can obtain straighter profiles with 1.9% second-minimum reflectivity as compared to 0.3% first-minimum reflectivity. The Brewer Science, Inc., OptiStack TM simulation tool was used to simulate the optimal conditions based on a full diffraction model where the design criterion is the optical phase shift of the reflection. Two metrics comprise the simulation output: the foot exposure () that characterizes the phase shift, and the effective reflectivity (ER) that is calculated from standing wave amplitude. The objective is to obtain the minimum ER at the target. Two experiments were conducted in order to validate this concept. In both set of tests, the films were characterized experimentally by analyzing the process window, resist profile, and line width roughness, and by simulating the and ER. In the first experiment a reference BARC, Brewer Science ARC 29A coating, and an advanced variable-k BARC, Brewer Science ARC 121 coating of the ARC 100 coating series, selected from simulation are compared. Even though the reference materials did not show a large variation of and ER in the wide thickness range studied, optical simulations explained the tapered profiles and the smaller process windows. The variable-k BARC presented a larger range that included both the target value and locally minimized ER. Process window analysis shows that the optimal process was not correlated to minimum reflectivity but to the metric previously described, minimum ER at target. The second experiment, designed to better de-correlate and ER through adapted k and thickness, using again an ARC 100 series BARC, confirmed the strong effect of value at a given ER on resist profiles and process window. 1. INTRODUCTION As CDs are pushed smaller past 65 nm and resists become thinner, the importance of the ultraviolet (UV) distribution within the photoresist becomes greater. For resists with refractive indices of 1.6-1.7 the standing wave period is 55-60 nm and is approaching half the thickness of the photoresist in some cases. This means the location of constructive and destructive interference nodes resulting from any interface reflectivity can have a significant impact on the overall profile of the photoresist. At the same time, chemical interactions between BARCs and photoresists play an increasingly important role in profiles. This paper investigates the effects of the UV distribution on the photolithographic process using a new lithography metric, foot exposure (). [1] For a dedicated BARC chemistry, it is possible that the first and second minimum reflectivity points show different behaviors without any clear explanation until we introduce an additional parameter,, that seems have an effect on the bottom of the resist profile as shown in Figure 1. Advances in Resist Materials and Processing Technology XXVI, edited by Clifford L. Henderson, Proc. of SPIE Vol. 7273, 72730Z 2009 SPIE CCC code: 0277-786X/09/$18 doi: 10.1117/12.813667 Proc. of SPIE Vol. 7273 72730Z-1

ARC93 Reflectivity on Si ARC93 on Si : Ij9s I 20 30 40 50 0 BARC [nm] 0.85 90 100 111 120 20 30 41 51 1 10 0 9C 110 110 120 BARC [nm] Figure 1. Example of reflectivity and simulation with associated cross sections on bare silicon In order to understand the mechanism behind this phenomenon, we conducted two experiments to determine how best to utilize the metric. In the first experiment, litho results from two different BARCs are compared to their effective reflectivity (ER) and. The second experiment looks into the metric in more detail by choosing a BARC with a variable k value and then selecting different thickness and k values to produce a range of values while keeping ER constant. The ultimate goal is to determine what value the metric has in lithographic process development. 2. SIMULATION APPROACHES [1] Simulations were performed using Brewer Science, Inc., OptiStack simulation software. Conventional BARC simulation works in incident angles (or Fourier domain). It collects the reflectivity from all illumination and diffraction angles, and then gives a single value for overall reflectivity. One inconsistency that often arises is that the reflection from different diffraction angles is collected in an incoherent manner while lithography imaging is a coherent process. A second inconsistency is that, for incoherent illumination, the standing waves from different incident angles are shifted with respect to each other in the vertical direction, resulting in a smoothed standing wave. Thus, for a given overall reflectivity value, the standing wave amplitude depends also on the coherency of the illumination source, and it is unreasonable to set a reflectivity criterion for every possible optical setup. A third inconsistency is that a single reflectivity value does not contain the pattern-related details of the reflectivity. The reflectivity should be pattern dependent and distributed in the aerial image plane. This simulation tool works on both Fourier and aerial image planes. For one illumination angle (or one illumination sigma point), the aerial image is obtained from the interference of forward and reflected images, and then the UV distribution is obtained from the accumulation of the images from all illumination angles. Finally, the resulting effective reflectivity is calculated from the standing wave amplitude of the UV distribution. In terms of standing wave control, the reflectivity criterion is independent of the optical setup. The distribution of the reflectivity in the aerial image plane depends on the mask pattern. Therefore pitch dependence can be analyzed within a mask. Effective reflectivity is evaluated in a photoacid diffusion region around line edges (25 nm to each side), ignoring the standing waves in an open area. Foot exposure () value is used to characterize the optical phase shift. In terms of chemical diffusion, UV intensity is taken into account only 50 nm around line edge and 40 nm from resist bottom. The UV distribution is weighed linearly from resist bottom as shown below in figure 2. Proc. of SPIE Vol. 7273 72730Z-2

40nm I( z) w( z) dz I0 0 = = resistthx nm I I( z) dz w( z) dz 40 0 0 z weighing function 50 nm 40 nm Figure 2. Foot exposure () is the ratio of the intensity at the bottom of the resist line (I 0 ) to average intensity (I) through the full feature height. w(z) represents the weight as function of the resist film thickness. In the run simulations we have considered the area between the first 40 nm of thickness in z direction and the portion of ± 25nm with respect to the edge of the resist line in x direction. 3. EXPERIMENTAL Two experiments investigating were performed as outlined in tables 1 and 2. The first experiment utilized two different BARCs at different thicknesses to generate different combinations of effective reflectivity (ER) and foot exposure (). The second experiment utilized a variable k value BARC and adjusted the k value and thickness to give different values while keeping ER constant. A BARC that can be formulated with different k values was specifically chosen in order to minimize any chemical interaction effects. w(z) x z BARC n k Thickness (Å) ER (%) ARC 29A 1.83 0.34 415 1.77 1.001 ARC 29A 1.83 0.34 302 1.32 0.996 ARC 29A 1.83 0.34 254 1.54 1.002 ARC 29A 1.83 0.34 235 1.72 1.006 ARC 121 1.67 0.21 530 0.13 0.955 ARC 121 1.67 0.21 464 0.21 0.946 ARC 121 1.67 0.21 363 1.22 0.953 ARC 121 1.67 0.21 296 2.47 0.976 ARC 121 1.67 0.21 244 3.63 1.001 Table 1. Experiment 1: BARC, n, k, thickness, ER and on bare wafers BARC n k Thickness (Å) ER (%) ARC 129 1.67 0.28 508 0.35 0.911 ARC 133 1.66 0.32 450 0.35 0.920 ARC 133 1.66 0.32 537 0.35 0.954 ARC 138 1.66 0.38 443 0.35 0.957 Proc. of SPIE Vol. 7273 72730Z-3

ARC 138 1.66 0.38 483 0.35 0.968 ARC 129 1.67 0.28 469 0.60 0.894 ARC 129 1.67 0.28 545 0.60 0.933 ARC 133 1.66 0.32 437 0.60 0.918 ARC 133 1.66 0.32 555 0.60 0.963 ARC 138 1.66 0.38 422 0.60 0.954 ARC 138 1.66 0.38 508 0.60 0.977 Table 2. Experiment 2: BARC, n, k, thickness, ER and on bare wafers For each process condition a focus exposure matrix was run to determine the process window (PW) with the selected resist (resist film thickness was equal to 900Å for all experiments mentioned in this paper). Process window analysis was performed using Brewer Science data software. Exposures were carried out on immersion ASML1700i linked to a Sokudo RF 3 track. A Hitachi S9380I CDSEM was used for the CD measurements with target 42 nm ± 4 nm. Line width roughness was also carried out for L/S wafers using a dedicated CDSEM recipe for ArF resist. The optical and CDSEM settings are reported in table 3 and 4, respectively. Tool NA Illumination ASML1700i 1.2 Strong Dipole Table 3. Optical settings Tool Accelerating Voltage Probe Current Magnification CDSEM S-9380I 600 V 5.5 pa 150K digital zoom 225Kx Table 4. Hitachi CDSEM measurement settings Finally, cross sections were analyzed using a Leo Ultra 55 tool at low energy with a dedicated recipe in order to avoid charging effects particularly strong when investigating ArF resists. 4. RESULTS For each BARC thickness the process window was determined using critical dimension (CD) limits of 42 nm ± 4 nm and line width roughness (LWR) limits of < 5 nm. The process window area in this case is defined by the maximum and minimum CD in exposure and by the first occurrence of LWR greater than 5 nm in positive and negative focus as shown in Figure 3. 41 40 39 38 37 LWR 38 nm 42 nm 36 35 46 nm 34 33-1,00-0,75-0,50-0,25 0,00 0,25 0,50 0,75 Focus (µm) Figure 3. Process window area definition Proc. of SPIE Vol. 7273 72730Z-4

As previously mentioned, the first experiment evaluated the performance of Brewer Science s ARC 29A and ARC 121 coatings focusing on exposure latitude (EL) vs. depth of focus (DoF), process window and the associated cross sections for each thickness tested. In the second experiment, we used a variable k value BARC (ARC 129, ARC 133, ARC 138 coatings) in order to evaluate the relationship between the, process window size and the cross section profile at fixed reflectivity points less than 1%. From this data we were able to have some idea of the relative impact of the value. 4.1 ARC 29A coating 4.1.1 Simulations R and vs. ARC 29A coating thickness: Thicknesses for ARC 29A coating near the first reflectivity minimum were chosen for this experiment. Effective reflectivity ranged from 1.32% to 1.77%. values ranged from 0.996 to 1.006 (Figure 4). ARC 29A Reflectivity and vs Thickness 3.00 2.50 2.00 ARC 29A %R ARC 29A Test Thicknesses 1.020 1.015 1.010 %R 1.50 1.005 1.00 1.000 0.50 0.995 0.00 20 25 30 35 40 45 BARC thickness [nm] 0.990 Figure 4. ARC 29A coating reflectivity and vs. thickness 4.1.2 EL vs. DoF and process window areas The small and ER variation enabled by thickness variation do not show significant difference in process window performance (Figure 5). ARC29A EL vs DoF 16% EL [%] 14% 12% 10% 8% 6% 4% 2% EL ARC29A 235Å 1.74%R, 1.006 PW Area 3.91 EL ARC29A 254Å 1.54%R, 1.002 PW Area 3.9 EL ARC29A 302Å 1.32%R, 0.996 PW Area 4.26 EL ARC29A 415Å 1.77%R, 1.001 PW Area 3.65 0% 0.00 0.20 0.40 0.60 0.80 1.00 DoF [µm] Figure 5. EL vs. DoF for ARC 29A coating Proc. of SPIE Vol. 7273 72730Z-5

4.1.3 Cross sections: Cross sections also confirm that and ER range of variation is not enough to cause resist profile variation (Table 5). ARC 29A 415 Å 302 Å 254 Å 235 Å R [%] 1.77 1.32 1.54 1.72 [u.a.] 1.001 0.996 1.002 1.006 Process Window Area 3.65 4.26 3.90 3.91 Table 5. ARC 29A coating cross sections with associated R, and process window areas 4.2 ARC 121: 4.2.1 Simulations R and vs. ARC 121 coating thickness: Thicknesses for ARC 121 coating near the first reflectivity minimum were chosen for this experiment. Effective reflectivity ranged from 0.13% to 3.63%. values ranged from 0.946 to 1.001 (Figure 6). ARC 121 Reflectivity and vs Thickness %R 5.00 4.50 4.00 3.50 3.00 2.50 2.00 1.50 1.00 0.50 ARC 121 %R ARC 121 Test Thicknesses 1.020 1.010 1.000 0.990 0.980 0.970 0.960 0.950 0.940 0.930 0.00 20 25 30 35 40 45 50 55 60 BARC thickness [nm] 0.920 Figure 6. ARC 121 coating reflectivity and vs. thickness Proc. of SPIE Vol. 7273 72730Z-6

4.2.2 EL vs DoF and process window areas The large and ER variation accessible with ARC 121 coating has a visible impact on process performance (Figure 7 and Figure 8). ARC 121 EL vs DoF 16% EL [%] 14% 12% 10% 8% 6% 4% 2% EL ARC121 244Å 3.63%R, 1.001 PW Area 2.01 EL ARC121 296Å 2.47%R, 0.976 PW Area 3.91 EL ARC121 363Å 1.22%R, 0.953 PW Area 3.5 EL ARC121 464Å 0.21%R, 0.946 PW Area 3.49 0% 0.00 0.20 0.40 0.60 0.80 1.00 DoF [µm] Figure 7. EL vs. DoF for ARC 121 coating ARC 121 PW Area vs ARC 121 PW Area vs Reflectivity PW Area 4.5 4 3.5 3 2.5 2 1.5 1 0.5 0 0.940 0.960 0.980 1.000 [u.a.] PW Area 4.5 4 3.5 3 2.5 2 1.5 1 0.5 0 0.0 1.0 2.0 3.0 4.0 R [%] Figure 8. Process window area vs. and ER for ARC 121 coating 4.2.3 Cross sections Cross sections confirm that resist profiles are strongly affected by the and ER. In fact, after the and ER range widening we are able to appreciate the resist profile modulation, but we are still unable to discriminate the contribution of the two parameters (Table 6). ARC 121 530 Å 464 Å 363 Å 296 Å 244 Å "'u R [%] 0.13 0.21 1.22 2.47 3.63 [u.a.] 0.955 0.946 0.953 0.976 1.001 Process Window Area N/A 3.49 3.50 3.91 2.01 Straight Slight Footing Undercutting Profile Table 6. ARC 121 coating cross sections with associated R, and process window areas Proc. of SPIE Vol. 7273 72730Z-7

4.3 ARC 100 coating series (ARC 129, ARC 133 and ARC 138 coating) From the first experiments it was difficult to separate the both and ER contribution on the process window and resist profile. In this second part of experiments we decided to work at a reflectivity less than 1% at the barc-photoresist interface and we modulated the metric keeping fixed the ER values. In order to experimentally execute this work we made use of ARC 100 series where it is possible to tune the n and k values matching the requested reflectivity. 4.3.1 Simulations R and vs. ARC 100 coating series thickness Three different k values and thicknesses near the first reflectivity minimum were chosen for this experiment. Points were selected to produce effective reflectivity values of 0.35% and 0.60%. For ER equal to 0.35%, values ranged from 0.911 to 0.968. For ER equal to 0.60%, values ranged from 0.894 to 0.977 (Figure 9). %R 1.0 0.9 0.8 0.7 0.6 0.5 0.4 0.3 0.2 0.1 0.0 ARC 129 Reflectivity and vs Thickness ARC129 %R ARC129 Test Thicknesses 35 40 45 50 55 60 BARC thickness [nm] 0.99 0.98 0.97 0.96 0.95 0.94 0.93 0.92 0.91 0.90 0.89 %R 1.0 0.9 0.8 0.7 0.6 0.5 0.4 0.3 0.2 0.1 0.0 ARC 133 Reflectivity and vs Thickness ARC133 %R ARC133 Test Thicknesses 35 40 45 50 55 60 BARC thickness [nm] 0.99 0.98 0.97 0.96 0.95 0.94 0.93 0.92 0.91 0.90 0.89 ARC 138 Reflectivity and vs Thickness %R 1.0 0.9 0.8 0.7 0.6 0.5 0.4 0.99 0.98 0.97 0.96 0.95 0.94 0.93 0.3 0.92 ARC138 %R 0.2 ARC138 0.91 0.1 Test Thicknesses 0.90 0.0 0.89 35 40 45 50 55 60 BARC thickness [nm] Figure 9. Reflectivity and vs. thickness for ARC 129, ARC 133 and ARC 138 coatings 4.3.2 EL vs. DoF and process window areas Figure 10 shows process window variation (both exposure latitude and depth of focus) despite the constant ER. EL [%] 18% 16% 14% 12% 10% 8% 6% 4% 2% 0% EL vs DoF Reflectivity = 0.35% 0.00 0.20 0.40 0.60 0.80 1.00 DoF [µm] EL ARC129 508Å 0.35%R, 0.911 PW Area 3.45 EL ARC133 450Å 0.35%R, 0.92 PW Area 3.07 EL ARC133 537Å 0.35%R, 0.954 PW Area 3.61 EL ARC138 443Å 0.35%R, 0.957 PW Area 3.93 EL ARC138 483Å 0.35%R, 0.968 PW Area 4.02 EL [%] 20% 18% 16% 14% 12% 10% 8% 6% 4% 2% 0% EL vs DoF Reflectivity = 0.6% 0.00 0.20 0.40 0.60 0.80 1.00 DoF [µm] Figure 10. EL vs. DoF for ARC 100 coating series at 0.35% and 0.60% ER EL ARC129 469Å 0.6%R, 0.894 PW Area 3.2 EL ARC129 545Å 0.6%R, 0.933 PW Area 4.79 EL ARC133 437Å 0.6%R, 0.918 PW Area 2.94 EL ARC133 555Å 0.6%R, 0.963 PW Area 4.35 EL ARC138 422Å 0.6%R, 0.954 PW Area 3.55 EL ARC138 508Å 0.6%R, 0.977 PW Area 3.8 Proc. of SPIE Vol. 7273 72730Z-8

Figure 11 shows that is the main driver for PW variations, in fact although the ER is kept the same (0.35% or 0.6% for each test) the PW increase by changing the metric (from 0.91 to 0.98). 5 PW area vs 4.5 PW area 4 3.5 3 ARC 129 0.35%R ARC 129 0.6%R ARC 133 0.35%R ARC 133 0.6%R ARC 138 0.6%R ARC 138 0.35%R 2.5 0.875 0.900 0.925 0.950 0.975 1.000 [u.a.] Figure 11. Process window area vs for ARC 100 Series 4.3.3 Cross sections of ARC 129 and ARC 138 coating (ER 0.35%, 0.6% at different ) Higher leads to straight profile and larger process window at a given ER (Table 6). ARC 129 ARC 138 ARC 129 ARC 138 Å 508 483 469 508 [u.a] 0.911 0.968 0.894 0.977 R [%] 0.35 0.35 0.60 0.60 LWR [nm] 4 3.6 3.8 3.7 CD 3σ [nm] Process Window Area 1.5 1.4 2 1.5 3.45 4.02 3.20 3.8 Footing Straight Profile Footing Straight Profile Table 6. ARC 100 coating series cross sections with associated R,, LWR, CD 3σ and process window areas Proc. of SPIE Vol. 7273 72730Z-9

5. DISCUSSION In general we have found the metric is difficult to separate from the reflectivity value without changing either the thickness of the BARC or the optical properties of the materials involved. In the first experiment, the range of values (0.996 1.006) achievable near the first minimum of ARC 29A coating was too small to have any detectable impact on the process window or resist profiles. These values represent less than a 1% change in the amount of UV energy intensity at the bottom 40nm of the photoresist compared with the average intensity as determined by the definition of. The effective reflectivity (ER) varied from 1.32% - 1.74% and has the dominant impact on the process window size. The cross sections shown in Table 5 did not show a trend further suggesting the value range was too small. In order to understand whether the is an interesting parameter, a new BARC, ARC 121 coating, with a larger and ER range has been explored. By using ARC 121 coating, we were able to explore a wider range of values (0.946 1.001) that represented a greater than 5% change in the amount of UV energy intensity in the bottom 40 nm of the photoresist. This was coupled with a range of ER from 0.13% - 3.63%. The process window size showed a strong drop with the highest ER value, but it was difficult to separate the contribution of the two parameters (Figure 8). Table 6 shows there is a relationship between ER value and photoresist profile, with values over 2% yielding an undercut profile and values less than 1% yielding a footing profile. In the end the best profile was an intermediate ER (1.22%) with close to 0.95, which suggests a complex matching phenomenon between ER and. In the second experiment with reflectivity kept at fixed values we are able to see a clearer picture of the impact of on the process window and resist profiles. In this experiment the value ranges were 0.911 0.968 for ER equal to 0.35% and 0.894 0.977 for ER equal to 0.60%. As shown in Figure 12, within each material tested there is a trend of a larger process window as the value increases. Both ER values tested, 0.35% and 0.60%, have shown footing profiles when the value was near 0.9 [u.a.] and straight profiles when the value was near 0.97 [u.a.] as shown in Table 6. This data suggests there is a sensitive impact on the resist profile bottom when the reflectivity is less than 1%. It is theorized that as the footing profile increases, the LWR measurement increases in areas of defocus. This would explain the larger process window trend seen with larger values. In this study, the impact of effective reflectivity (ER) and foot exposure () simulation was deeply investigated. It was determined that the most desirable photoresist profile does not always correspond to a minimum of ER but rather a combination of ER and. The results have highlighted that the resist profile is tapered when the value is around 0.9 and straighter when close to 1.0 when ER is less than 1%. There is also a trend in larger process window as you move from 0.9 to 1.0 when ER is less than 1%. In conclusion, the metric has some value when pushing the limits of optical lithography and could potentially be used in the material selection process. 6. ACKNOWLEDGEMENTS The authors would like to thank the Brewer Science R&D group and their continuous assistance in material synthesis and support, Kevin Schwalje from the Brewer Science Process Engineering Group for manufacturing the ARC 100 coating series samples, and special thanks to team members in the R2 Physics Lab for cross section analysis. 7. RERENCES [1] Zhimin Zhu., et al, "Reflection control in hyper-na immersion lithography," Proceedings of SPIE, vol. 6924, 2008, pp. 69244A-1-69244A-7. Proc. of SPIE Vol. 7273 72730Z-10