The impact of resist model on mask 3D simulation accuracy beyond. 40nm node memory patterns

Similar documents
Reflectivity metrics for optimization of anti-reflection coatings on wafers with topography

OPC flare and optical modeling requirements for EUV

A novel methodology for Litho-to-Etch Pattern Fidelity Correction for SADP Process

Investigation of interactions between metrology and lithography with a CD SEM simulator

Investigation of the foot-exposure impact in hyper-na immersion lithography when using thin anti-reflective coating

Photoresist Qualification using Scatterometry CD

2013 International Workshop on EUV Lithography Hanyang University

Overlay accuracy fundamentals

Preliminary Investigation of Shot Noise, Dose, and Focus Latitude for E-Beam Direct Write

Design Rule Optimization of Regular layout for Leakage Reduction in Nanoscale Design

Hybrid hotspot detection using regression model and lithography simulation

Overlay control methodology comparison: field-by-field and high-order methods

Supreme lithographic performance by simple mask layout based on lithography and layout co-optimization

Optimization of Photolithography Process Using Simulation

On the quality of measured optical aberration coefficients using phase wheel monitor

Process Transfer Strategies between ASML Immersion Scanners

Low k 1 Logic Design using Gridded Design Rules

Computational Lithography Turning Physics into Yield

SMO Photomask Inspection in the Lithographic Plane

Challenges in Manufacturing of optical and EUV Photomasks Martin Sczyrba

A New Fast Resist Model: the Gaussian LPM

The Death of the Aerial Image

Copyright 2002 by the Society of Photo-Optical Instrumentation Engineers.

Multi-Level Overlay Techniques for Improving DPL Overlay Control

Pushing 193i lithography by Joint optimization of Layout and Lithography

ABSTRACT. KEYWORDS: AIMS, Bossung plot, linewidth versus defocus, quartz height, EAPSM, repair verification, MeRiT, Litho simulation INTRODUCTION

Photoresist Modulation Curves

Image Degradation due to Phase Effects in Chromeless Phase Lithography

On Comparing Conventional and Electrically Driven OPC Techniques

32nm and below Logic Patterning using Optimized Illumination and Double Patterning

Weighted least squares regression for advanced overlay control

CSPLAT for Photolithography Simulation

Direct Imaging Solutions for Advanced Fan-Out Wafer-Level and Panel-Level Packaging

Three-dimensional imaging of 30-nm nanospheres using immersion interferometric lithography

Enhanced Lumped Parameter Model for Photolithography

Fast Lithography Simulation under Focus Variations for OPC and Layout Optimizations

Patterned Wafer Geometry Grouping for Improved Overlay Control

Using the Normalized Image Log-Slope, part 5: Development

Design of Experiment Application for Unit Process Development in Semiconductor Manufacturing

Automated aerial image based CD metrology initiated by pattern marking with photomask layout data

EUV Lithography and Overlay Control

Strengthening the leadership

Mask patterning challenges for EUV N7 and beyond. Date: 2018/02/27

On Modeling and Testing of Lithography Related Open Faults in Nano-CMOS Circuits

Analysis of OPC Features in Binary Masks at 193nm

New methodology to characterize printing performance of mask materials by analyzing diffraction efficiency

Stochastics and the Phenomenon of Line-Edge Roughness

Impact of mask line roughness in EUV lithography

Lithography Simulation

Manufacturing Challenges and their Implications on Design

Manufacturability of computation lithography mask: Current limit and requirements for sub-20nm node

Recent Research and Emerging Challenges in Physical Design for Manufacturability/Reliability

Distributed model calibration using Levenberg-Marquardt algorithm

Redefining Critical in Critical Dimension Metrology

Reducing shot count through Optimization based fracture

Optimization of one- and two dimensional masks in the optical lithography

Article 3D Topography Mask Aligner

2. TOPOLOGICAL PATTERN ANALYSIS

Source Mask Optimization (SMO) at Full Chip Scale using Inverse Lithography Technology (ILT) based on Level Set Methods

Systematic Defect Filtering and Data Analysis Methodology for Design Based Metrology

Optical Proximity Correction with Hierarchical Bayes Model

Interaction and Balance of Mask Write Time and Design RET Strategies

Process Variation Aware OPC with Variational Lithography Modeling

Tilt-corrected stitching for electron beam lithography

Automated SEM Offset Using Programmed Defects

Sub-nanometer Broadband Measurement of Elastic Displacements in Optical Metrology Frames and Other Critical Elements

Color Shift Improvement in a Broadband Cholesteric Liquid Crystal. Polarizer through Computational Simulations

Laplacian Eigenmaps and Bayesian Clustering Based Layout Pattern Sampling and Its Applications to Hotspot Detection and OPC

LITHOGRAPHY CHALLENGES AND CONSIDERATIONS FOR EMERGING FAN-OUT WAFER LEVEL PACKAGING APPLICATIONS

Model-Based MPC Enables Curvilinear ILT using Either VSB or Multi-Beam Mask Writers

Lithography Process Control Using Scatterometry Metrology and Semi-Physical Modeling

Advanced Timing Analysis Based on Post-OPC Extraction of Critical Dimensions

SIMULATION FOR ADVANCED MASK ALIGNER LITHOGRAPHY

Lithography Simulation-Based Full-Chip Design Analyses

Sub-wavelength Holographic Lithography: The Possibilities And Advantages. (NANOTECH SWHL, 6-1 Kazarmenny per., Moscow, Russia)

Amherst. University of Massachusetts Amherst. Aswin Sreedhar University of Massachusetts Amherst

Simultaneous OPC- and CMP-Aware Routing Based on Accurate Closed-Form Modeling

Practical approach to full-field wavefront aberration measurement using phase wheel targets

Benefiting from Polarization: Effects at High-NA Imaging

Materials for and performance of multilayer lithography schemes

Use of multiple azimuthal angles to enable advanced scatterometry applications

Study of Air Bubble Induced Light Scattering Effect On Image Quality in 193 nm Immersion Lithography

FBR Capital Markets 12 th Annual Spring Investor Conference

Maaike Op de Beeck, Erik Sleeckx, Patrick Jaenen, Eddy Kunnen, IMEC Leuven, Belgium Wendy Yeh, Applied Materials Santa Clara, CA

Immersion Microlithography at 193 nm with a Talbot Prism Interferometer

Characterization of a Chemically Amplified Photoresist for Simulation using a Modified Poor Man s DRM Methodology

Impact of 3D Laser Data Resolution and Accuracy on Pipeline Dents Strain Analysis

Optical Topography Measurement of Patterned Wafers

EUV telecentricity and shadowing errors impact on process margins

Optical Scattering. Analysis. Measurement and SPIE PRESS. John C. Stover THIRD EDITION. Bellingham, Washington USA

LITHOGRAPHIC PERFORMANCE OF RECENT DUV PHOTORESISTS. Bob Streefkerk, Koen van Ingen Schenau and Corine Buijk. ASML Veldhoven, The Netherlands

Advanced multi-patterning and hybrid lithography techniques. Fedor G Pikus, J. Andres Torres

Device Overlay Method for High Volume Manufacturing

Optimal Design of Steel Columns with Axial Load Using Artificial Neural Networks

Double Patterning-Aware Detailed Routing with Mask Usage Balancing

SUSS MJB4. Manual Aligner For Research, Development and Operator Assisted Production October, 2009

A Study of phase defect measurement on EUV mask by multiple detectors CD-SEM

Behavioral modeling of crosswafer chip-to-chip process induced non-uniformity. W. CLARK COVENTOR, Villebon sur Yvette, France

CMP Model Application in RC and Timing Extraction Flow

Design Techniques for Lithography-Friendly Nanometer CMOS Integrated Circuits. Ayman Hamouda

Transcription:

The impact of resist model on mask D simulation accuracy beyond nm node memory patterns Kao-Tun Chen a, Shin-Shing Yeh a, Ya-Hsuan Hsieh a, Jun-Cheng Nelson Lai a, Stewart A. Robertson b, John J. Biafore b, Sanjay Kapasi b, Arthur Lin b a Powerchip Semiconductor Corp., No. Li-Hsin RD, Hsinchu, Taiwan b KLA-Tencor Corp., N. Capitol of Texas Highway, Austin, TX 9, USA ABSTRACT Beyond nm lithography node, mask topograpy is important in litho process. The rigorous EMF simulation should be applied but cost huge time. In this work, we compared experiment data with aerial images of thin and thick mask models to find patterns which are sensitive to mask topological effects and need rigorous EMF simulations. Furthur more, full physical and simplified lumped (LPM) resist models were calibrated for both D and D mask models. The accuracy of CD prediction and run-time are listed to gauge the most efficient simulation. Although a full physical resist model mimics the behavior of a resist material with rigor, the required iterative calculations can result in an excessive execution time penalty, even when simulating a simple pattern. Simplified resist models provide a compromise between computational speed and accuracy. The most efficient simulation approach (i.e. accurate prediction of wafer results with minimum execution time) will have an important position in mask D simulation. Keywords: EMF, D mask, Mask topography, Lumped parameter resist model, Full physical calibrated resist model. INTRODUCTION In ArF immersion process where mask pattern pitch (X and X nodes) is many times smaller than exposure wavelength where strong RET and high NA are required. The light diffraction can not be correctly predicted by the Kirchhoff approximation mask model (or thin mask model) as most frequently used in many imaging simulations today. Precise and accurate forecasting of the wafer pattern requires rigorous electromagnetic field analysis (EMF or D mask mode) which fully considered mask topography effects []. Many studies have indicated significant differences in patterning prediction between Kirchhoff approximations and D mask models[][][]. Besides the difference of aerial image, ArF resist kinetics also play an important role which impacts the real image Optical Microlithography XXIII, edited by Mircea V. Dusa, Will Conley, Proc. of SPIE Vol., S SPIE CCC code: -X//$ doi:./. Proc. of SPIE Vol. S-

obtained on the wafer. However, D mask model is well-known for longer execution time and also consumes large computing resources [], even for small mask areas of several microns. In product development stage, it s much predicted accuracy and time-concerned to cost and market. There is another choice, simplified resist model, which is generally called LPM model (Lumped-Parameters Model) and may provide a compromise between computational speed and accuracy. In this paper, we quantify the CD bias between experiments and aerial image simulations across a range of nm node flash memory patterns. The difference will be used to gauge the importance of using rigorous EMF model. We also calibrate both the full physical and simplified resist models for D and D mask simulations. The comparison of CD accuracy and running time will be used to gauge the importance of using any resist model.. D & D MASK AERIAL IMAGE SIMULATION First, we focus on some well-known typical features of flash product beyond nm node to figure out what kind of feature is sensitive to mask topological effects. For D patterns, select-gate features are studied, and for D patterns, landing pad and cut line features are studied (Fig. ). WL area SG 9 SG L L L L L L (c) Figure : Typical features of memory, D features : Select-gate area, D features : landing pad area, (c) D features : cut line. In first part, we observe pure optical simulation accuracy of select-gate area design. WL to WL are chosen to monitor the accuracy because these features are close to SG features which may suffer much proximity effect caused by strong variation of pattern deployment. CD is defined with ADI CD difference between simulation and experimental data. In fig., pure optical aerial image simulation is done with Kirchhoff approximation (D mask) and EMF mask (D mask) compared to experimental data with commercial PR A. It s obviously that ADI CD bias of EMF mask is much better than of Kirchhoff approximation, especially WL to WL CD bias which are closer to SG feature with much optical proximity effect suffered. The maximum. ADI CD bias is only about.nm of pure optical behavior of EMF mask. However, it is quite process related. In fig., experimental ADI CDs of two different PR are compared to simulation results of EMF mask. The maximum. ADI CD bias is increased to.nm with commercial PR B. It implies that litho process change may induce worse accuracy by different PR parameters. Only aerial image with EMF mask Proc. of SPIE Vol. S-

simulation is surely enough. Different calibrated PR models of commercial PR A will be discussed in following sections. 9 TOK commercial PR A Kirchhoff (D mask) EMF (D mask) 9 9 commercial PR A commercial PR B 9 Figure : CD of aerial image simulation result benchmark with experimental data of SG features : PR A ADI CD errors with D mask Kirchhoff approximation and with D EMF mask, PR A and PR B CD errors with D EMF mask. In Fig., L, L, and L of landing pad features through different focus with D mask Kirchhoff approximation and with D EMF mask are observed. In Fig., it s also obvious that with D EMF mask the simulation compensation is better than with D Kirchhoff approximation, and the pure optical model simulation difference of two mask approaches is shown in Fig.. The difference range is from.nm to.nm, which is compensated by D EMF mask simulation. Compared to select-gate features, which the simulation difference of D and D mask is large as nm in WL, the simulation difference of landing pad seems acceptable due to dense structure. Though it indeed a good way to adopt D EMF mask for landing pad feature to get more accuracy, it also takes a huge time difference to gain. Landing pad ADI CD with simulation bias D Kirchhoff approximation D EMF mask Defocus -.um Best Focus Defocus +.um D L D L D L D L D L D L Simulation difference (D EMF - D Kirchhoff) (nm)....... D mask & D mask simulation bias Defocus -.um Defocus -.um Best Focus Defocus +.um Defocus +.um L L L Figure : CD of aerial image simulation result benchmark with experimental data of landing pad features : PR A ADI CD errors with D mask Kirchhoff approximation and with D EMF mask through focus, pure optical model simulation difference of D mask Kirchhoff approximation and D EMF mask through focus Proc. of SPIE Vol. S-

In Fig., L, L, and L of cut-line features through different focus with D mask Kirchhoff approximation and with D EMF mask are observed. In Fig., There is no obvious difference between with D EMF mask and D Kirchhoff approximation, and the pure optical model simulation difference of two mask approaches is shown in Fig.. The difference range is from.nm to.nm, which is compensated by D EMF mask simulation. Compared to select-gate features, which the simulation difference of D and D mask is large as nm in WL, the simulation difference of cut-line feature seems acceptable due to dense structure. 9 Cut line ADI CD with simulation bias D Kirchhoff approximation Defocus -.um Best Focus Defocus +.um D EMF mask D L D L D L D L D L D L Simulation difference (D EMF - D Kirchhoff) (nm) Cut-line D mask & D mask simulation bias....... L L L Defocus -.um Best Focus Defocus +.um Figure : CD of aerial image simulation result benchmark with experimental data of cut-line features : PR A ADI CD errors with D mask Kirchhoff approximation and with D EMF mask through focus, pure optical model simulation difference of D mask Kirchhoff approximation and D EMF mask through focus. DATA COLLECTION AND PR CALIBRATION. Evaluating goodness of fit with the root mean square error Model calibration is performed by minimizing the RMS error between simulation and actual data, yielding a set of kinetic parameters for each modeled resist. The goodness of model fit is estimated by the standard deviation of the error between the data and predictions []: RMSE is the weighted root mean square of the error associated with the second moment about the mean. The weighting function wi is calculated from the statistics of repeat trials.. Feature selection and data collection The physically-rigorous resist model and LPM model are calibrated using CDSEM S9 metrology measurements from wafers processed above. The dataset collected for calibration consisted of focus exposure matrices, including Proc. of SPIE Vol. S-

nm pitch with line width nm and space width nm; nm pitch with line width nm; and nm pitch with line width nm and space nm. The line sizes on the mask were nm, nm and nm. Each F-E matrix was measured on duplicate wafers, so that an estimation of process variability could be made. The process conditions for the calibration data: Wavelength: 9 nm Topcoat: nm OC- Resist: nm commercial ArF PR A Process: /s PRE, /s PEB, s development BARC: NISSAN NCA Mask: % attenuated PSM Exposure:. NA, Annular,.9/.. Calibration of rigorous resist model and LPM model In this work, we calibrated four models by accounting for two different mask characterization one with D mask (Kirchhoff approximation) and one with D EMF mask (FDTD Finite Difference Time Domain). These models are calibrated by minimizing the RMS error. After calibration, the quality of the match can be evaluated by inspection of the RMS error. Total RMS (nm) Max. RMS (nm) Max. RMS features Rigorous Resist Model (Kirchhoff ).. nm space / nm pitch LPM Model (Kirchhoff ).. nm space / nm pitch Rigorous Resist Model (EMF mask)..9 nm line / nm pitch LPM Model (EMF mask).. nm space / nm pitch Table : Calibration fitting result : Summary table of total RMS and Max. RMS features. Table summarizes the comparison amongst four different models and Table summarizes calibration results for all the features and shows calibration fit results for all the features used for calibration. Fig. shows max. RMS error matching features of each model with experimental and simulation data. With calibrated PR qualification, cross-section images of experiment and simulation show good compatible result in fig.. Simulated PR profiles of rigorous resist models show good matching to empirical wafer profiles, especially profiles with D EMF mask get compatible top rounding and PR footing. With LPM model, there is much difference from empirical wafer profiles, which means insufficient physical parameters to describe the detail reactions and development phenomenon during wafer process. In Proc. of SPIE Vol. S-

this study, we focused on ADI CD matching, which is mainly determined by top view CDSEM image, and the longitudinal PR profile is not our first concern. LPM model with simplified physical parameters can be accepted. (c) (d) Table : Calibration fitting result among test features, rigorous resist model with Kirchhoff approximation, LPM model with Kirchhoff approximation, rigorous resist model with EMF mask(c), LPM model with EMF mask(d). (c) (d) Proc. of SPIE Vol. S-

Figure : Max. RMS error matching features of each model with experimental(points) and simulation(lines) data. Rigorous resist model (Kirchhoff) with nm space / nm pitch, LPM model (Kirchhoff) with nm space / nm pitch, rigorous resist model (EMF mask) with nm space / nm pitch (c), LPM model (EMF mask) with nm space / nm pitch (d). L / P L / P (c) (d) (e) Figure : Comparison of experimental cross-section image and simulation cross-section image for different test features. Experimental wafer cross-section profile, rigorous resist model with Kirchhoff approximation, LPM model with Kirchhoff approximation(c), rigorous resist model with EMF mask(d), LPM model with EMF mask(e).. COMPARISON WITH REAL DEVICE EMPIRICAL RESULT. D Kirchhoff approximation mask with PR full physical model and lumped model simulation We generate full physical model and simplified lumped model to compensate the model accuracy. Fig. shows nm to nm proximity features fitting results of LPM model and full physical model. For LPM model, RMS is.nm, and for FPM model, RMS is.nm. FPM shows better fitting result than LPM does. RMS =.nm with LPM model RMS =.nm with FPM model Proc. of SPIE Vol. S-

Figure : Proximity features fitting result by D Kirchhoff approximation simulation LPM model Full physical model In fig., we still choose select-gate design & WL to WL to monitor model accuracy. In design, the total CD is well compensated by full physical PR model, which including more details of PR parameters to illustrate litho behavior. Especially CDs of WL to WL, which are closer to SG feature with much optical proximity effect suffered, are also well compensated. By simplified LPM model, in design, even the most critical pattern WL is well compensated, but CDs of WL to WL9 become worse than full physical model. From Table shown, Kirchhoff LPM model is well generated with total RMS only.nm, which is same as full physical model dose. But in-sufficient PR descriptions of parameters will cause wrong result with total ADI CD fitting, even in some critical patterns the fitting result is good. In design, full physical model is also better compensated than simplified LPM model, but the tendency is not so obvious as design showed. It may be caused by measurement error or more test patterns and parameters need to be considered during PR calibration progress. Kirchhoff approximation (D mask) simulation ADI CD bias LPM model Full physical model 9 9 Kirchhoff approximation (D mask) simulation ADI CD bias LPM model Full physical model 9 9 select-gate design select-gate design Figure : CD of Kirchhoff approximation simulation result benchmark with experimental data of SG features (LPM model and full physical model) : select-gate design, select-gate design.. D EMF mask with PR full physical model and lumped model simulation In this section, in order to verify D EMF mask distribution to simulation accuracy, we also generate full physical model and simplified lumped model with D EMF mask calibration. Select-gate design is applied to verify the difference between these two models. Fig. 9 shows nm to nm proximity features fitting results of LPM model and full physical model. For LPM model, RMS is.9nm, and for FPM model, RMS is.nm. FPM shows better fitting result than LPM does. Proc. of SPIE Vol. S-

RMS =.9nm with LPM model RMS =.nm with FPM model Figure 9 : Proximity features fitting result by D EMF mask simulation LPM model Full physical model In Fig., it shows that with full physical model gets better compensation than with simplified LPM model. But notice that both are time consuming compared to D Kirchhoff approximation simulation. In device development early stage, accuracy is the most important, but also time to market efficiency is needed to be concerned. Depends on user s host equipment, Either D EMF mask with LPM model or with full physical model is chosen to provide a better compensation in simulation accuracy and running time. In Fig., full physical models with D Kirchhoff approximation and D EMF mask are compared. Result shows better fitting result with D EMF mask, but also it s time-consuming both in calibration and simulation stage. EMF mask (D FDTD) simulation ADI CD bias LPM model Full physical model Full physical model simulation ADI CD bias Kirchhoff (D mask) EMF (D mask) 9 9 Figure : CD of D EMF mask simulation result benchmark with experimental data of SG features (LPM model and full physical model), CD of full physical model simulation result benchmark with experimental data of SG features (D Kirchhoff approximation and D EMF mask).. Time consuming benchmark In Table, we compute the running time of single resist simulation of D Kirchhoff approximation and D EMF mask on D and D patterns. Even for a standard line-end pattern, single aerial image (AI) simulation of D EMF mask Proc. of SPIE Vol. S-9

model costs half hour which is tens of run-time of D Kirchhoff mask model. In D Kirchhoff approximation model, LPM model saves %~9% run-time compared to FPM model; In D EMF mask model, LPM model saves %~% run-time compared to FPM model. Combining with the CD accuracy, LPM can be a candidate if D EMF mask model is needed. D_AI D_LPM D_FPM D_AI D_LPM D_FPM Dense L/S (D) s s s s s s Select-gate (D) s s s 9s 9s s Dense Lineend (D) s s ms ms ms ms Landing pad (D) s ms ms >hours >hours >hours Table : Running time summary table of different models with D and D test patterns. CONCLUSION Three typical features of flash memory are examined by D Kirchhoff approximation and D EMF mask optical models. Select-gate patterns is the most sensitive to mask topological effects due to it s various pitch environment. Four different PR models with LPM and full physical by Kirchhoff approximation and D EMF mask calibrated are observed. All these models are calibrated well by test features and total RMS is from.nm to.nm. D EMF mask application significantly improves the simulation accuracy of select-gate pattern beyond nm, especially critical patterns which suffer much optical proximity effect. For LPM model and full physical model application, definitely full physical model provides more accurate simulation result than LPM model due to more parameters are involved during calibration progress, but full physical model is time-consuming both in calibration and simulation stage. More precise parameters in LPM model calibration will provide compatible simulation result to full physical model, and it would be a compensation solution between simulation accuracy and simulation time. ACKNOWLEDGEMENT The authors would like to thanks KLA-Tencor lithography teams for their contributions to this paper. PROLITH is a trademark of KLA-Tencor. REFERENCES. A. Erdmann, Mask modeling in the low k and ultrahigh NA regime: phase and polarization effects Proc. of SPIE Vol., pp.9-().. M. Saied, F. Foussadier, et. al., Three-dimensional mask effects and source polarization impact on OPC model Proc. of SPIE Vol. S-

accuracy and process window Proc. of SPIE Vol. ().. K. Sato, M. Itoh, T. Sato, Mask D effect on -nm imaging using attenuated PSM Proc. of SPIE Vol. ().. Peter D. Bisschop, T. Muelders, et. al., Impact of mask three-dimensional effects on resist-model calibration, JM letters Vol. (), Jul.-Sep. 9.. P. Bevington, et. al., Data Reduction and Error Analysis for the Physical Sciences McGraw-Hill,. Proc. of SPIE Vol. S-