Bringing Patterned Media to Production with Value Added Metrology

Similar documents
EMPIR Grant Agreement 14IND07 3D Stack

IDEMA, March Hari Hegde CTO, Data Storage Process Equipment Veeco Instruments Inc.

Optical Topography Measurement of Patterned Wafers

Advances in Disk Metrology

3D ATOMIC FORCE MICROSCOPY OF HIGH ASPECT RATIO STRUCTURES. R.W. Herfst

OPTICAL TECHNOLOGIES FOR TSV INSPECTION Arun A. Aiyer, Frontier Semiconductor 2127 Ringwood Ave, San Jose, California 95131

Approaches to the Metrology Challenges for DSA Hole

2D nano PrintArray Product Data Sheet

Investigation of the foot-exposure impact in hyper-na immersion lithography when using thin anti-reflective coating

A Study of phase defect measurement on EUV mask by multiple detectors CD-SEM

Contour LS-K Optical Surface Profiler

Micro Cutting Tool Measurement by Focus-Variation

NanoLens AFM and Bruker 3D Microscopes Integrated 1000X Inspection Combines for Maximum Metrology Value

Frontiers in CD-SEM metrology

MEMS SENSOR FOR MEMS METROLOGY

Defect Repair for EUVL Mask Blanks

Nominal depths (step heights) in µm 0.05; 0.1; 0.23; ; 2; 5; 10; 20; 50; ; 400; 500; 525; 600; 900; 1000

Behavioral modeling of crosswafer chip-to-chip process induced non-uniformity. W. CLARK COVENTOR, Villebon sur Yvette, France

Sample study by 3D optical profiler Contour Elite K for KTH university.

EUV MASK MANUFACTURING: PATTERNING AND BLANK STATUS BRYAN S. KASPROWICZ, HENRY KAMBERIAN PHOTRONICS, INC.

Total Inspection Solutions Ensuring Known-Good 3DIC Package. Nevo Laron, Camtek USA, Santa Clara, CA

In-situ metrology for pad surface monitoring in CMP

Mobility and Miniaturization 3D WLI Microscopes Address Key Metrology Needs

Metrology Tools for Flexible Electronics and Display Substrates. Min Yang

PLASTIC FILM TEXTURE MEASUREMENT USING 3D PROFILOMETRY

Metrology for Characterization of Wafer Thickness Uniformity During 3D-IC Processing. SEMATECH Workshop on 3D Interconnect Metrology

Reflectivity metrics for optimization of anti-reflection coatings on wafers with topography

Cantilever Based Ultra Fine Pitch Probing

Discover 3D measurements for flexible electronics: a metrology masterclass

Image Processing Solutions for Probe Card Manufacturing, Inspection and Optimization

The Dektak XT is a 2D contact profilometer used for step height, pitch and surface roughness

O-RING SURFACE INSPECTION USING 3D PROFILOMETRY

Inspection System for High-Yield Production of VLSI Wafers

MicraGEM-Si A flexible process platform for complex MEMS devices

SuMMIT Application Notes:

Stochastics and the Phenomenon of Line-Edge Roughness

NEW OPTICAL MEASUREMENT TECHNIQUE FOR SI WAFER SURFACE DEFECTS USING ANNULAR ILLUMINATION WITH CROSSED NICOLS

AVT-1000 Advanced Vibrometry Tester. Cutting Edge Optical Surface Analyzer Technology for Nano-defect and Topography Measurements

Case Studies of All-Surface Inspection in a 3DI-TSV R&D Environment. Rolf Shervey Sr. Applications Engineer Rudolph Technologies, Inc.

QUALITY ASSURANCE OF MULTIFIBER CONNECTORS

ksa MOS Ultra-Scan Performance Test Data

Reconstruction of atomic force microscopy image by using nanofabricated tip characterizer toward the actual sample surface topography

Preliminary Investigation of Shot Noise, Dose, and Focus Latitude for E-Beam Direct Write

Redefining Critical in Critical Dimension Metrology

Thickness of the standard piece: 10 mm The most important calibration data are engraved in the side face of the specimen.

Tribometers. nanovea.com

NanoScale Storage Systems Inc.

The Importance Of 3D Profilometry & AFM Integration

SPECTRUM. The world s first fully automated Raman AFM. AFM - confocal Raman - SNOM - TERS AFM KPFM. Raman. AFM-Raman characterization of PS-PVAC

New plasma processes for improved dimensional control and LWR for a 28nm gate patterning

Development of innovative ALD materials for high density 3D integrated capacitors

MEASUREMENT OF PATTERNED WAFER SURFACE DEFECTS USING ANNULAR EVANESCENT LIGHT ILLUMINATION METHOD

Sensor based adaptive laser micromachining using ultrashort pulse lasers for zero-failure manufacturing

Removing Aluminum Cap in 90 nm Copper Technology

Manufacturing Challenges and their Implications on Design

Automated aerial image based CD metrology initiated by pattern marking with photomask layout data

An Inspection and Measurement Technology Platform Leading the Way to More Advanced Manufacturing

3D Surface Metrology on PV Solar Wafers

Optimization of Photolithography Process Using Simulation

SOLAR CELL SURFACE INSPECTION USING 3D PROFILOMETRY

Unit Growth and New Technology: Defining Factors for Capital Spending in the HDD Industry

Projected evolution of semiconductor nanotechnology

MultiView 2000 TM. The First Tip and Sample Scanning Probe Microscope. The Next Evolution in SPM. The Next Evolution in SPM

Challenges in Manufacturing of optical and EUV Photomasks Martin Sczyrba

PCMM System Specifications Leica Absolute Tracker and Leica T-Products

SUSS MJB4. Manual Aligner For Research, Development and Operator Assisted Production October, 2009

PCMM System Specifications Leica Absolute Tracker and Leica T-Products

NON-CONTACT 3D SURFACE METROLOGY

Victory Process. Full Physical 3D Semiconductor Simulator Etching and Deposition Simulation

SURFACE BOUNDARY MEASUREMENT USING 3D PROFILOMETRY

Scanning Acoustic Microscopy For Metrology of 3D Interconnect Bonded Wafers

TRIBOMETERS MICRO-MACRO TRIBOLOGY TESTING

Complete 3D measurement solution

Sample Sizes: up to 1 X1 X 1/4. Scanners: 50 X 50 X 17 microns and 15 X 15 X 7 microns

FOCUS VARIATION A NEW TECHNOLOGY FOR HIGH RESOLUTION OPTICAL 3D SURFACE METROLOGY

UULA FOCALSPEC 3D LINE CONFOCAL SCANNER DID THEY TELL YOU THAT NO-ONE CAN MEASURE IT? WE CAN.

Use of multiple azimuthal angles to enable advanced scatterometry applications

Current Status and Future Outlook for Magnetic Recording Media

Homework No. 5. Fundamentals of AFM: Part I. Lectures: P1_Wk5_L1 - P1_Wk5_L6

Doug Schramm a, Dale Bowles a, Martin Mastovich b, Paul C. Knutrud b, Anastasia Tyurina b ABSTRACT 1. INTRODUCTION

VIRTUAL ENVIRONMENT FOR DYNAMIC AFM Version 2.0. First Time User s Manual

Scanning Capacitance Microscopy Investigations of Focused Ion Beam Damage in Silicon

Influence of Geometrical Configuration of Cantilever Structure on Sensitivity of MEMS Resonant Sensors

Certus Light. NanoScanTechnology. Basic Datasheet. reasoned innovations. Entry Level Scanning Probe Microscope. Scanning Probe Microscope

MICROSPHERE DIMENSIONS USING 3D PROFILOMETRY

University of Minnesota Nano Fabrication Center Standard Operating Procedure

Optimized Design of 3D Laser Triangulation Systems

MarSurf. The new generation of contour measurement systems MarSurf XC 20 MarSurf XC 2

for R&D and Production Advanced Technology OAI is a Silicon Valley-based manufacturer of advanced precision equipment

Planarization of Passivation Layers during Manufacturing Processes of Image Sensors

STEEL SURFACE CHARACTERIZATION USING 3D PROFILOMETRY

Lecture: P1_Wk3_L5 Contact Mode Scans. Ron Reifenberger Birck Nanotechnology Center Purdue University 2012

Reproducing the hierarchy of disorder for Morpho-inspired, broad-angle color reflection

Confocal Raman Imaging with WITec Sensitivity - Resolution - Speed. Always - Provable - Routinely

Needs of the data storage industry

MEMS - Exhibitor Presentations Manufacturing Technology and Quality Multi Sensor Metrology for Control of MEMS Production

Assembly of thin gratings for soft x-ray telescopes

FOCALSPEC 3D LINE CONFOCAL SCANNER UULA DID THEY TELL YOU THAT NO-ONE CAN MEASURE IT? WE CAN.

Step Height Comparison by Non Contact Optical Profiler, AFM and Stylus Methods

LITHOGRAPHY CHALLENGES FOR LEADING EDGE 3D PACKAGING APPLICATIONS

Transcription:

Bringing Patterned Media to Production with Value Added Dean Dawson, Andrew S. Lopez Diskcon /IDEMA Conference, Session 6 September 24th, 2009

Overview Introduction AFM Scan Modes New Nanotrench Pattern Media Requirements Depth & Defects Imprint Wafer Requirements 3D Imprint Summary From Productive Research to Manufacturing Productivity Dimension Icon AFM with NanoTrench InSight 3DAFM 270 sites/hr

Wide Range of Data Storage Solutions Research Wafer CMP Wafer 3D Media Slider Dimension Icon Dimension AFP InSight 3DAFM Dimension Icon Vx200 PTR & Optical Profiler Failure & Defect Characterization CMP Wafer Trench Media R&D PTR Worlds Most Advanced AFM In-Line CMP In-Line 3D Media/BPM AFM - Golden Reference Widest range of AFM techniques Complete CMP Solution Non destructive 3D Resist & Alumina Optical - High throughput inline metrology

Advanced AFM Modes for TappingMode Non destructive, high resolution imaging Constant scan rate Tip lifetime>100 s sites Topography & Defects Deep Trench Mode Non-destructive high resolution depth metrology Adaptive scan rate matches sample structure. Tip lifetime>1000 s sites Production Depth & Sidewall Angle Critical Dimension AFM Non destructive, high resolution sidewall imaging Scanner servo s in X-Z & Y-Z Direction. Adaptive Scanning Tip Lifetime >1,000 sites CD, LER & Sidewall metrology SNL Probes 2009 Veeco Instruments Inc 092409 ideam-diskcon CNT Probes New CDAFM Probes

New NanoTrench Mode for DTM NanoTrench skillfully combines HarmoniX & TappingMode to create a powerful new AFM mode NanoTrench starts at (B) probe entering transition Data shows high resolution, precise depth metrology of BPM/DTM media features A B E F Lower Measurement Cost Enables small feature measurement with low cost SNL probes from Veeco Probes Significantly improves tip lifetime HarmoniX constantly monitors the tip surface interaction (B-C) C D Scan Direction SNL Probes

TappingMode Tip Lifetime Improperly Operated Tapping Mode 1 st Frame 20 th Frame Tip radius increase from 11 nm to 16 nm in 20 frames Properly Operated Tapping Mode 1 st Frame 20 th Frame Tip radius did not show any change Scan size 1.5 um for all the images, height scale is 100 nm

NanoTrench Tip Lifetime 1st Image Continuously scanning on the rough surface for 66 hours, hundreds of frames. Tip radius maintains 7.5 nm through out the process Nanotrench mode controls tip/surface interaction peak force directly with high accuracy. This force control assures that the interaction is well below fracture limit of Si tips.

Patterned Media Process Flow Substrate Substrate Substrate Substrate Substrate Process Steps: Magnetic layer deposition Patterning & Media Etch Gap fill Planarization Lubrication Steps: Roughness & Defects Depth, CD, SWA, LWR, Defects on Resist & Media Roughness, Depth & Defects Roughness, Defects, Adhesion Magnetic Properties Roughness, Friction, Defects Advanced metrology for resist profiles, trench profiles, planarization, defects, and material characterization

Patterned Media with Advanced AFM Modes Patterned media metrology requirements Small feature Depth, Sidewall Angle, CD Defect Review Residual resist thickness Dimension Icon AFM with NanoTrench TEM/ SEM cross-sectioning is labor-intensive and destructive and takes too much time AFM provides immediate feedback of results

Imprint Disk NanoTrench 300 nm x 150 nm 32 lines Low Cost SNL Probes demonstrate low probe wear over 90 images x 3 sites <1nm 1 st image 90 th image 6.5 nm 5.9 nm Repeatability Data Run Normalized Depth (nm) 1-0.39 2 0.01 3 0.11 4-0.19 5 0.01 6 0.51 7-0.19 8 0.21 9-0.09 10 0.01 3σ 0.74 NanoTrench & SNL Probes High Probe Lifetime 2009 Veeco Instruments Inc 092409 ideam-diskcon

Pattern Media Post NIL Probe Used SNL (silicon tip on silicon nitride cantilever) Scan Conditions: Nano Trench Mode Scan size: 300nm x 300nm 512 x 512 lines 0.44Hz Tests Conducted Capability Depth measurement 3D Profile Example

Bit Patterned Media CDAFM Mode Etch Structures Nested Space - Etch Precision Offset Slope TMP FMP InSight #105 1.478 0.243 1.001 1.873 1.843 InSight #103-Demo 1.214-0.270 0.999 1.812 Space End - - Etch Precision Offset Slope TMP FMP InSight #105 2.551-0.420 1.001 2.970 2.743 InSight #103-Demo 1.797 0.390 0.999 2.495 Line End - Etch Precision Offset Slope TMP FMP InSight #105 2.194 0.145 1.001 2.639 2.415 InSight #103-Demo 1.344-0.145 0.999 2.168 Etch Etch Via Via Concave Concave Top Top & 3D 3D View View 60nm 60nm Via - Etch Precision Offset Slope TMP FMP InSight #105 2.168-0.230 1.002 2.332 2.202 InSight #103-Demo 1.613 0.265 0.999 2.064 Resist Line End - Resist Precision Offset Slope TMP FMP InSight #105 2.186-0.038 0.998 3.397 3.440 InSight #103-Demo 2.117 0.041 1.002 3.482 Via - Resist Precision Offset Slope TMP FMP InSight #105 2.660 0.411 1.005 3.626 3.666 InSight #103-Demo 2.927-0.411 0.995 3.705 Precision of multiple feature sizes in one run 50nm-150nm Wafer ran 3x on each tool Includes process variation and variety of feature shapes Etched Etched Hole Hole --Convex Convex 50nm 50nm

22nm Nanoimprint Mask With 3DAFM 100 100 nm nm BTD BTD = 3.13 3.13 nm nm 32nm capable 22nm extendibility Nanoimprint Nanoimprint Sample Sample courtesy courtesy of of Dai Dai Nippon, Nippon, Japan Japan CDP15 CDP15 x x 150 150 Long Long Probe Probe The Nanoimprint sample was scanned with a CDP-15 tip The scan demonstrates approximately 3nm bottom travel 3nm BTD & 15nm probe diameter = 18nm trench width The trenches are nominally 22nm top CD x 100nm deep CDP15C probe is available for advanced development work

Nanoimprint Linear Correlation: CDAFM Bottom CD to CDSEM CDAFM correlates to to CD CD SEM SEM

DTM Defect Review Imaging Many types of defects in media (physical, magnetic) Defects in manufacturing are a significant limiter to yield Automated import of defects Contamination/defects can be imaged & measured from imported defect review file

Veeco Providing Enabling Technology for Areal Density Growth Dimension AFP-DS CMP Cross Section of Head Write Pole InSight 3D-AFM PMR HD9800 Optical Profiler & Dimension Vx200-DS PTR & DLC Read Sensor InSight 3D-AFM Profile Dimension Icon AFM FA & Characterization

InSight 3DAFM Wafer InSight 3DAFM ABS ABS plane plane Depth - 270 sites/hr CD 100 Sites/hr Isolated 100nm Line 5 μm Width, 36 lines, Excellent Image stability

Principle of 3D AFM A flared tip follows the contour of features Probe is scanned by piezo X,Y, stage Data point spacing 0.1-1.0nm Undercut or re-entrant features can be measured 3D images is created by multiple 2D line scans Flared Flared tip tip enables enables measurements of of undercut undercut re-entrant re-entrant features features In a single scan the 3D AFM provides: Top, mid, and bottom CD Depth/Height Sidewall angle (left and right) Sidewall profile Line width roughness Sidewall roughness

TEM Level Profile Accuracy T.E.M. of multiple test lines 21 Average CD Bias (TEM - AFM) using TSE CD-Trident CD130SiN Bias Mid CD (nm) Mid CD (nm) Average 0.97 1.43 Std Deviation 1.58 1.71 Over-etched Over-etched Sample Sample for for Testing Testing Purposes Purposes Blue Blue Line Line is is the the Average Average 3DAFM 3DAFM Profile Profile TEM TEM Image Image Excellent Excellent Correlation Correlation to to TEM TEM with with 1.0nm 1.0nm Offset Offset 21 21 data data sets. sets. Careful Careful selection selection of of TEM TEM & AFM AFM sites sites Tip Shape Extraction removes tip shape from measured profile Data shows excellent correlation to T.E.M. for Profile and CD bias within LER of sample Trident Probes

Damascene Trench Lithography Post-Etch Ru Deposition Probe to Probe Repeatability (3σ) CNP500 Probes demonstrate over 5000+ measurements Critical Requirements Trench Profile Width, Depth, Sidewall Angle (SWA) Sidewall Roughness (SWR) Line Width Roughness (LWR)

PMR Pole Tapering and Edge Features: Critical Requirements Edge Profile Height Sidewall Angle Normalized Angle Summary Normalized Angle Pooled Repeatability (3σ) FIB Probe 2009 Veeco Instruments Inc 092409 ideam-diskcon CDAFM CDAFM Mode Mode with with 10 10 deg deg Angled Angled FIB FIB Probe Probe

Summary New NanoTrench AFM Mode provides high resolution AFM metrology for Patterned Media on Dimension Icon AFM Depth metrology on BPM/DTM structures Low Noise Defect metrology 3DAFM metrology provides unique 3DAFM characterization and production monitoring of critical metrology Non-destructive direct measurements Delivers results within minutes without need for sample preparation or cross sectioning

THANK YOU