Network-on-Chip Architecture

Similar documents
SoC Design Lecture 13: NoC (Network-on-Chip) Department of Computer Engineering Sharif University of Technology

Fault Tolerant and Secure Architectures for On Chip Networks With Emerging Interconnect Technologies. Mohsin Y Ahmed Conlan Wesson

Network on Chip Architecture: An Overview

Phastlane: A Rapid Transit Optical Routing Network

Achieving Lightweight Multicast in Asynchronous Networks-on-Chip Using Local Speculation

FPGA based Design of Low Power Reconfigurable Router for Network on Chip (NoC)

Network on Chip Architectures BY JAGAN MURALIDHARAN NIRAJ VASUDEVAN

LOW POWER REDUCED ROUTER NOC ARCHITECTURE DESIGN WITH CLASSICAL BUS BASED SYSTEM

ECE 697J Advanced Topics in Computer Networks

Architectures for Networks on Chips with Emerging Interconnect Technologies

Noc Evolution and Performance Optimization by Addition of Long Range Links: A Survey. By Naveen Choudhary & Vaishali Maheshwari

4. Networks. in parallel computers. Advances in Computer Architecture

Meet in the Middle: Leveraging Optical Interconnection Opportunities in Chip Multi Processors

ECE/CS 757: Advanced Computer Architecture II Interconnects

PERFORMANCE EVALUATION OF WIRELESS NETWORKS ON CHIP JYUN-LYANG CHANG

Networks-on-Chip Router: Configuration and Implementation

Hybrid On-chip Data Networks. Gilbert Hendry Keren Bergman. Lightwave Research Lab. Columbia University

Network-on-chip (NOC) Topologies

INTERCONNECTION NETWORKS LECTURE 4

Design and Implementation of Buffer Loan Algorithm for BiNoC Router

Index 283. F Fault model, 121 FDMA. See Frequency-division multipleaccess

Lecture 2: Topology - I

ECE 551 System on Chip Design

Hardware Evolution in Data Centers

NetSpeed ORION: A New Approach to Design On-chip Interconnects. August 26 th, 2013

MinRoot and CMesh: Interconnection Architectures for Network-on-Chip Systems

Interconnection Networks

Graphene-enabled hybrid architectures for multiprocessors: bridging nanophotonics and nanoscale wireless communication

IITD OPTICAL STACK : LAYERED ARCHITECTURE FOR PHOTONIC INTERCONNECTS

Design And Verification of 10X10 Router For NOC Applications

SoC Design. Prof. Dr. Christophe Bobda Institut für Informatik Lehrstuhl für Technische Informatik

A NEW ROUTER ARCHITECTURE FOR DIFFERENT NETWORK- ON-CHIP TOPOLOGIES

Implementing Flexible Interconnect Topologies for Machine Learning Acceleration

CAD System Lab Graduate Institute of Electronics Engineering National Taiwan University Taipei, Taiwan, ROC

Quality-of-Service for a High-Radix Switch

Topologies. Maurizio Palesi. Maurizio Palesi 1

On-Chip Communications

International Journal of Research and Innovation in Applied Science (IJRIAS) Volume I, Issue IX, December 2016 ISSN

Embedded Systems: Hardware Components (part II) Todor Stefanov

Lecture 18: Communication Models and Architectures: Interconnection Networks

Approaches to Parallel Computing

ON-CHIP MULTIPROCESSOR COMMUNICATION NETWORK DESIGN AND ANALYSIS

Design of Synchronous NoC Router for System-on-Chip Communication and Implement in FPGA using VHDL

NOC: Networks on Chip SoC Interconnection Structures

Deadlock-free XY-YX router for on-chip interconnection network

Real Time NoC Based Pipelined Architectonics With Efficient TDM Schema

Minje Jun. Eui-Young Chung

TDT Appendix E Interconnection Networks

JUNCTION BASED ROUTING: A NOVEL TECHNIQUE FOR LARGE NETWORK ON CHIP PLATFORMS

COMPARISON OF OCTAGON-CELL NETWORK WITH OTHER INTERCONNECTED NETWORK TOPOLOGIES AND ITS APPLICATIONS

Overcoming the Memory System Challenge in Dataflow Processing. Darren Jones, Wave Computing Drew Wingard, Sonics

A closer look at network structure:

Brief Background in Fiber Optics

Reliability-Performance Trade-offs in Photonic NoC Architectures

Akash Raut* et al ISSN: [IJESAT] [International Journal of Engineering Science & Advanced Technology] Volume-6, Issue-3,

A Reconfigurable Crossbar Switch with Adaptive Bandwidth Control for Networks-on

Lecture 9: Bridging & Switching"

Fault-Tolerant Multiple Task Migration in Mesh NoC s over virtual Point-to-Point connections

The Open System Interconnect model

NoC Simulation in Heterogeneous Architectures for PGAS Programming Model

VLSI D E S. Siddhardha Pottepalem

Interconnection Networks

EDA for ONoCs: Achievements, Challenges, and Opportunities. Ulf Schlichtmann Dresden, March 23, 2018

Modeling and Simulation of System-on. Platorms. Politecnico di Milano. Donatella Sciuto. Piazza Leonardo da Vinci 32, 20131, Milano

FDMA Enabled Phase-based Wireless Networkon-Chip using Graphene-based THz-band Antennas

NoC Round Table / ESA Sep Asynchronous Three Dimensional Networks on. on Chip. Abbas Sheibanyrad

Ultra-Fast NoC Emulation on a Single FPGA

EE/CSCI 451: Parallel and Distributed Computation

OVERHEADS ENHANCEMENT IN MUTIPLE PROCESSING SYSTEMS BY ANURAG REDDY GANKAT KARTHIK REDDY AKKATI

Communication Services for Networks on Chip

Overlaid Mesh Topology Design and Deadlock Free Routing in Wireless Network-on-Chip. Danella Zhao and Ruizhe Wu Presented by Zhonghai Lu, KTH

Different network topologies

on Chip Architectures for Multi Core Systems

Design of Reconfigurable Router for NOC Applications Using Buffer Resizing Techniques

1. Introduction 2. Methods for I/O Operations 3. Buses 4. Liquid Crystal Displays 5. Other Types of Displays 6. Graphics Adapters 7.

Parallel Computing 39 (2013) Contents lists available at SciVerse ScienceDirect. Parallel Computing

Design and Implementation of Low Complexity Router for 2D Mesh Topology using FPGA

NETWORKS on CHIP A NEW PARADIGM for SYSTEMS on CHIPS DESIGN

Power and Performance Efficient Partial Circuits in Packet-Switched Networks-on-Chip

CSE Introduction to Parallel Processing. Chapter 4. Models of Parallel Processing

OVERVIEW: NETWORK ON CHIP 3D ARCHITECTURE

CSE 123: Computer Networks Alex C. Snoeren. HW 2 due Thursday 10/21!

Reconfigurable Optical and Wireless (R-OWN) Network-on-Chip for High Performance Computing

Computer Systems Architecture

Chapter 4 NETWORK HARDWARE

SAMBA-BUS: A HIGH PERFORMANCE BUS ARCHITECTURE FOR SYSTEM-ON-CHIPS Λ. Ruibing Lu and Cheng-Kok Koh

SEMICON Solutions. Bus Structure. Created by: Duong Dang Date: 20 th Oct,2010

A Dynamic NOC Arbitration Technique using Combination of VCT and XY Routing

CCNoC: Specializing On-Chip Interconnects for Energy Efficiency in Cache-Coherent Servers

BROADBAND AND HIGH SPEED NETWORKS

FPGA BASED ADAPTIVE RESOURCE EFFICIENT ERROR CONTROL METHODOLOGY FOR NETWORK ON CHIP

Design and Analysis of On-Chip Router for Network On Chip

Interconnection Structures. Patrick Happ Raul Queiroz Feitosa

Interconnection Networks: Topology. Prof. Natalie Enright Jerger

A Survey on Asynchronous and Synchronous Network on Chip

Sri Vidya College of Engineering and Technology. EC6703 Embedded and Real Time Systems Unit IV Page 1.

Local Area Networks (LANs): Packets, Frames and Technologies Gail Hopkins. Part 3: Packet Switching and. Network Technologies.

Design and Test Solutions for Networks-on-Chip. Jin-Ho Ahn Hoseo University

Qsys and IP Core Integration

Various Concepts Of Routing and the Evaluation Tools for NOC

Transcription:

Multiple Processor Systems(CMPE-655) Network-on-Chip Architecture Performance aspect and Firefly network architecture By Siva Shankar Chandrasekaran and SreeGowri Shankar

Agenda (Enhancing performance) Single to multicore transition Why NoC and what is NoC? Performance and scalability of NoC Traditional NoC Drawback Improvements to NoC Dynamic heterogeneous Photonic NoC Architecture Conclusion

Single core to Multi core transition! Initially processors were designed with a single core operating at a particular frequency To increase the performance of system, frequency was increased Beyond a point the frequency could not be increased, because the power consumption and dissipation increased Power Consumption= C*V^2*f

Graph of Clock speed vs Power Consumption

Need for Network on chip The performance of systems couldn t be increased by increasing clock frequency any more Therefore multi core chips with many processing elements were introduced This introduces the need for inter processor communication Inter processor communication leads to communication overheads Speedup not always same as the number of processors as there are communication overheads

What is Network-on-Chip? NoC is a communication subsystem on an Integrated Circuit (chip), for communication between cores in a System on Chip (SoC). NoC are a GALS solution, means Globally Asynchronous Locally Synchronous solution. It applies networking theory for on-chip communication NoC improves the scalabiltity of SoC s and is power efficient, which is the need of the hour.

Basic ingredients of a NoC : N Computational Resources Processing Elements (PE) 1 Connection Topology 1 Routing technique M N Switches N Network Interfaces 1 Addressing system 1 Communication Protocol 1 Programming model Message passing Shared Memory

Parallelism and scalability of NoC Traditinally, dedicated point-to-point connections were used for communication within a chip This caused large area utilization for the wires In wired NoC, the links are shared by many signals and parallelism is achieved as all links can operate on different data packets simultaneously As complexity of the chips increases (more number of cores and computing elements) NoC scales well and provides enhanced performance

Traditional communication Systems They had dedicated bus systems to communicate with the different processing elements

NoC Architecture The different processing elements can be considered as nodes and the appropriate topology can be chosen to be implemented on the SoC architecture. The switches however must be small, fast and reliable

NoC architecture example Processor Master Processor Master Processor Master Routing Node Routing Node Routing Node Global Memory Slave Processor Master Processor Master Processor Master Global I/O Slave Routing Node Routing Node Routing Node Global I/O Slave Processor Master Processor Master Processor Master Routing Node Routing Node Routing Node

Network on Chip (NoC) Adoption of networkbased packet communication paradigm. Use abstraction and layering to decouple the communication issue from computation Distribute the responsibility of reliable transmission evenly over higher and lower layers of abstraction Software Application systems Architecture and control Transport Network Data link Physical wiring Protocol stack abstraction Benini & De Micheli, Computer 2002

Traditional NoC drawback More number of computing elements on chips Typically multicore chips will have hundreds of components like processing elements, custom logic, GPU unites and distributed memory Different communicating cores require different bandwidths Therefore need for heterogeneous NoC architecture

Expected changes and improvements to NoC High bandwidth for communication between processors needed in an energy efficient manner (10 GB / sec approx.) Photonic interconnects can help in achieving high bandwidth in an energy efficient manner. Performance of GPU increases as bandwidth increases, providing better speedup Dense Waveguide Division Multiplexing is adopted. BW depends on number of DWDM assigned to it Not all channels require the same amount of bandwidth Therefor a Dynamic Bandwidth Allocation scheme is used

Dynamic Heterogeneous Photonic NoC Arcitecture

Experimental Results

Agenda (Firefly Architecture) Why Nano photonic device and firefly architecture? Different topology s Dragonfly topology and architecture and its disadvantages Firefly architecture Benefits of Firefly architecture Corona vs firefly architecture comparison

Why Nano photonic device and firefly architecture? Recent development in silicon nano photonics create new opportunities for on-chip networks To exploit the benefits of nano photonics, Firefly- a hybrid network architecture is used Firefly uses conventional electrical signaling for communication between cluster of nodes, while inter cluster communication happens nano photonics Local communication- Electrical signaling Global Communication Nano Photonics

Nano Photonic Devices

Topologies Heritage of networks with new constraints Need to accommodate interconnects in a 2D layout Cannot route long wires (clock frequency bound) a) SPIN, b) CLICHE c) Torus d) Folded torus e) Octagon f) BFT.

Dragonfly topology and architecture

Disadvantage Relies on indirect adaptive routing and multiple traversals for load balancing This results in additional complexity Packets route within both source and destination which increases the hop count

Firefly architecture

Benefits of Firefly architecture Simplifying Routing with Extra Bandwidth Efficiently partitioned Optical Crossbars Hierarchical Architecture

Corona vs firefly architecture comparison Corona Architecture is implemented using a multi-write-single-read optical buses and a 64 x 64 optical crossbar Instead of using Multi write optical buses, the firefly uses multi read optical buses assisted with reservation broadcasting In Firefly architecture it avoids global arbitration by using localized, electrical arbitration done among small number of ports

Conclusion of Heterogeneous photonic NoC High bandwidth in GPU increases its performance. Not all communication channels require the same bandwidth, therefore dynamic bandwidth allocation Achieves higher performance when compared to homogeneous photonic NoC. Suitable for architectures consisting of heterogeneous cores, custom logic, GPGPU s and memory

Conclusion of firefly Architecture In Firefly architecture which is a hybrid, hierarchical on-chip network architecture that utilizes optical signaling and electrical signaling to achieve energy efficient on chip network It improves the performance by 54% and efficiency by 38% on traffic patterns with locality.

References Pan, Yan, et al. "Firefly: illuminating future network-on-chip with nanophotonics." ACM SIGARCH Computer Architecture News. Vol. 37. No. 3. ACM, 2009. Shah, A., Mansoor, N., Johnstone, B., Ganguly, A., & Lopez Alarcon, S. (2014, September). Heterogeneous photonic Network-on-Chip with dynamic bandwidth allocation. In System-on-Chip Conference (SOCC), 2014 27th IEEE International (pp. 249-254). IEEE. http://www.design-reuse.com/articles/10496/a-comparison-of-network-onchip-and-busses.html

Questions???