DAC 2018 FPGA design contest

Similar documents
Inference

融入 Python 生态的 Zynq 软硬件设计框架

An 80-core GRVI Phalanx Overlay on PYNQ-Z1:

Scaling Convolutional Neural Networks on Reconfigurable Logic Michaela Blott, Principal Engineer, Xilinx Research

FINN: A Framework for Fast, Scalable Binarized Neural Network Inference

MATLAB/Simulink 기반의프로그래머블 SoC 설계및검증

FINN: A Framework for Fast, Scalable Binarized Neural Network Inference

Introduction to Embedded System Design using Zynq

Copyright 2014 Xilinx

SoC Systeme ultra-schnell entwickeln mit Vivado und Visual System Integrator

The Basler Embedded Ecosystem

TP : System on Chip (SoC) 1

Hardware and Software Co-Design for Motor Control Applications

Integrated Workflow to Implement Embedded Software and FPGA Designs on the Xilinx Zynq Platform Puneet Kumar Senior Team Lead - SPC

Dr. Yassine Hariri CMC Microsystems

Integrating FPGAs with Nengo. Xuan Choo, Ben Morcos Nengo Summer School 2018 (Jun 11, 2018)

AXI Interface Based KC705. Embedded Kit MicroBlaze Processor Subsystem (ISE Design Suite 14.4)

Metview s new Python interface first results and roadmap for further developments

Lab 4: Convolutional Neural Networks Due Friday, November 3, 2017, 11:59pm

Use Vivado to build an Embedded System

The Portal Aspect of the LSST Science Platform. Gregory Dubois-Felsmann Caltech/IPAC. LSST2017 August 16, 2017

PYNQ Radio Final Report Team Members: Harveen Kaur, Rajat Gupta, Rohit Kulkarni, Vishwesh Rege

Hardware-Software Co-Design and Prototyping on SoC FPGAs Puneet Kumar Prateek Sikka Application Engineering Team

ECE5775 High-Level Digital Design Automation, Fall 2018 School of Electrical Computer Engineering, Cornell University

10/02/2015 Vivado Linux Basic System

Scalable and Modularized RTL Compilation of Convolutional Neural Networks onto FPGA

GRVI Phalanx Update: Plowing the Cloud with Thousands of RISC-V Chickens. Jan Gray

All Programmable SoC based on FPGA for IoT. Maria Liz Crespo ICTP MLAB

Use Vivado to build an Embedded System

Profiling the Performance of Binarized Neural Networks. Daniel Lerner, Jared Pierce, Blake Wetherton, Jialiang Zhang

OpenCV on Zynq: Accelerating 4k60 Dense Optical Flow and Stereo Vision. Kamran Khan, Product Manager, Software Acceleration and Libraries July 2017

PG Certificate. Embedded, Internet Of Things & Android

Parallella Linux - quickstart guide. Antmicro Ltd

Creating a base Zynq design with Vivado IPI

Key components of a lang. Deconstructing OCaml. In OCaml. Units of computation. In Java/Python. In OCaml. Units of computation.

Deep Learning for Visual Computing Prof. Debdoot Sheet Department of Electrical Engineering Indian Institute of Technology, Kharagpur

Atlys (Xilinx Spartan-6 LX45)

ECE 5775 (Fall 17) High-Level Digital Design Automation. Hardware-Software Co-Design

MicroZed: Hello World. Overview. Objectives. 23 August 2013 Version 2013_2.01

SoC Systeme ultra-schnell entwickeln mit Vivado und Visual System Integrator

Tutorial on Software-Hardware Codesign with CORDIC

Neural Network Exchange Format

Store Locator for Magento 2. User Guide

Home automation with pymodbus

Hardware and Software Co-Design for Motor Control Applications

Reproducibility and Extensibility in Scientific Research. Jessica Forde

Resource Center Getting Started Guide

DPM Demo Kit User s Manual Version: dpm_dk_um_1_0_1.doc

Pico Computing M501 PSP User Guide Linux Version 1.0.1

Jupyter and Spark on Mesos: Best Practices. June 21 st, 2017

FPGA Manager. State of the Union. Moritz Fischer, National Instruments

ChipScope Inserter flow. To see the Chipscope added from XPS flow, please skip to page 21. For ChipScope within Planahead, please skip to page 23.

Hardware and Software Co-Design for Motor Control Applications

Description: Write VHDL code for full_adder.vhd with inputs from switches and outputs to LEDs.

Adaptive Development of Hash Functions in FPGA-Based Network Routers

Applied Machine Learning

Homework 01 : Deep learning Tutorial

1-1 SDK with Zynq EPP

Evaluation Guide for ASP.NET Web CMS and Experience Platforms

Movidius Neural Compute Stick

A So%ware Developer's Journey into a Deeply Heterogeneous World. Tomas Evensen, CTO Embedded So%ware, Xilinx

Linux PL330 Mainline Driver Usage John Linn 10/17/2014 Based on Linux kernel 3.14

Hands-On Workshop: 3D Automotive Graphics on Connected Radios Using Rayleigh and OpenGL ES 2.0

Adaptable Computing The Future of FPGA Acceleration. Dan Gibbons, VP Software Development June 6, 2018

GRVI Phalanx Update: Plowing the Cloud with Thousands of RISC-V Chickens. Jan Gray

借助 SDSoC 快速開發複雜的嵌入式應用

Typhoon HIL600. Discover the frontier of Hardware-in-the-Loop testing and quality assurance for power electronics.

Lab 0: Intro to running Jupyter Notebook on a Raspberry Pi

Testing from the Cloud: Is the sky falling?

Event: PASS SQL Saturday - DC 2018 Presenter: Jon Tupitza, CTO Architect

Optimised OpenCL Workgroup Synthesis for Hybrid ARM-FPGA Devices

Nbconvert Refactor Final 1.0

UCT Software-Defined Radio Research Group

Lesson 7 Programming Embedded Galileo, Raspberry Pi, BeagleBone and mbed Platforms

UI and Python Interface

R. Assiro. WP1- Documentation Booting Petalinux from QSPI on UUB

Python Tutorial Ubuntu Pdf Beginners Filetype

Requirement ZYNQ SOC Development Board: Z-Turn by MYiR ZYNQ-7020 (XC7Z020-1CLG400C) Vivado and Xilinx SDK TF Card Reader (Micro SD) Windows 7

Xilinx ML Suite Overview

Hands-On Workshop: ARM mbed : From Rapid Prototyping to Production

Versal: AI Engine & Programming Environment

MYC-C7Z010/20 CPU Module

Writing Basic Software Application

1. BASICS OF PYTHON. JHU Physics & Astronomy Python Workshop Lecturer: Mubdi Rahman

The GISandbox: A Science Gateway For Geospatial Computing. Davide Del Vento, Eric Shook, Andrea Zonca

Avnet Zynq Mini Module Plus Embedded Design

To hear the audio, please be sure to dial in: ID#

Design AXI Master IP using Vivado HLS tool

GigaX API for Zynq SoC

Mothra: A Large-Scale Data Processing Platform for Network Security Analysis

Introduction to Embedded Systems

HTML CSS JAVASCRIPT WEB PUBLISHING IN ONE HOUR A DAY SAMS TEACH YOURSELF COVERING HTML5 CSS3 AND JQUERY 7TH EDITION

Proba-V and S3-SYN SNAP Toolbox: status and updates

Building an Embedded Processor System on a Xilinx Zync FPGA (Profiling): A Tutorial

CS145: Intro to Databases. Lecture 1: Course Overview

Using Visual Studio and VS Code for Embedded C/C++ Development. Marc Goodner, Principal Program Manager, Microsoft

SATA Host-IP Demo Instruction Rev Jan-18

SOFA 2 RUNTIME SUPPORT FOR DYNAMIC LANGUAGES Jaroslav Keznikl

Test Instrument Automation / Control My Tricks and Recommendations You know my passion for test instruments...

A success story of leveraging SDSoC TM to accelerate customer software algorithm

Transcription:

DAC 2018 FPGA design contest Naveen Purushotham, Xilinx Jingtong Hu, University of Pittsburgh Bei Yu, Chinese University of Hong Kong Xinyi Zhang, University of Pittsburgh

Agenda Welcome DAC Contest Committee Contest Introduction Webinars Piazza PYNQ TM & Reference design discussion Things to know Design rules Reference design Questions & Answers Page 2

DAC Contest Committee Page 3

PYNQ Page 4

Python productivity for Zynq An open-source framework for combining SW and HW libraries on Zynq Use SW libraries of Python language Exploit programmable logic and microprocessors using HW libraries Out of Box Prebuilt SD card Python, Jupyter, Ubuntu & Bitstreams Python & Debian & Bitstream extensible PYNQ marries data science software and capabilities of zynq and programmable hardware PYNQ-Z1 First PYNQ supported board Page 5

Jupyter Notebooks: browser-based development with rich, multi-media support Designed for Interactive, exploratory computing Reproducible results Ideal for Teaching and learning Projects and research Provides Interactive design with Zynq application-oriented perspective githubcom/ipython/ipython/wiki/a-gallery-of-interesting-ipython-notebooks Where to find more notebooks https://githubcom/jupyter/jupyter/wiki/a-gallery-of-interesting-jupyter-notebooks Page 6

Overlays aka hardware libraries special bitstreams Step 1: Create an FPGA design for a class of related applications Step 2: Export the bitstream and a C API for programming the design Step 3: Wrap the C API to create a Python library Step 4: Import the bitstream and the library in your Python scripts and program Page 7

Neural Network Application example Runs in Browser HW libraries for PL Program Bitstream Page 8

Neural Network Application example Import python Runs in SW Browser libraries HW libraries for PL Program Bitstream Show results and analysis Page 9

Productivity level tools for Zynq Python scripts & programs Interactive console Python Libraries CPython Virtual Machine Ubuntu core Python-C bindings for IP drivers IP drivers in C Post-bitstream-programmable overlay Page 10

Example PYNQ Project FINN: Binary Neural Network Overlay on PYNQ SVHN, Road signs, CIFAR-10 Int Symposium on FPGAs, Feb 2017 Image pre-processing in Python Unprecedented image classification rates 1,000x speed-up over Raspberry Pi3 https://githubcom/xilinx/bnn-pynq Binary Neural Network in FPGA & ARM CPU cat Page 11

A high productivity tool for experienced FPGA designers http://fpgaorg/2017/09/05/pynq-as-a-high-productivity-platform-for-fpga-design-and-exploration Pynq as a High Productivity Platform for FPGA Design & Exploration Fellow FPGA designers, try Pynq You ll like it Pynq makes exploring new FPGA ideas lightweight, fresh, fast, easy, fun again I hadn t expected that Pynq would also be a high productivity tool for experienced FPGA designers to more rapidly explore, evaluate, discover, and play Using Pynq to explore, develop, prototype saved me weeks of effort It was particularly good for interactively writing tests and exploring new hardware corner cases in Python with <1 second turnaround Jan Gray feedback on implementing 80 x 32-bit RISC cores on PYNQ-Z1 Page 12

PYNQ is completely open-source Where to find more information http://wwwpynqio Page 13

PYNQ Team standing by Build something cool Contribute to Open Source Contribute Reproducible Results If not already a member, join the PYNQ support forum http://wwwpynqio/supporthtml/ Page 14

DAC Contest reference design DDR PS side ZYNQ PL side Batch size = 500 open and resize images inference Timer should start before opening the images and end after PS side receives all detected coordinates Write to XML can be excluded from timer Page 15

Q & A Page 16