G450C Briefing and Supply Chain Collaboration on 450mm Transition. SEMI Northeast Forum Sept. 11,2013

Similar documents
CMP Users Group 450mm Technology Development

ISMI Industry Productivity Driver

Bringing 3D Integration to Packaging Mainstream

Status of PEMC Steve Arthur 8/18/2016

FBR Capital Markets 12 th Annual Spring Investor Conference

3D SYSTEM INTEGRATION TECHNOLOGY CHOICES AND CHALLENGE ERIC BEYNE, ANTONIO LA MANNA

SEMI Draft Document 4537 Revision to SEMI M PRACTICE FOR DETERMINING WAFER-NEAR-EDGE GEOMETRY USING PARTIAL WAFER SITE FLATNESS

UBS Technology Conference 2011 Franki D Hoore - Director European Investor Relations London, March 10, 2011

SEMI International Standards

EUV Lithography and Overlay Control

Bank of America S-MID Cap Conference Boston, MA. March 26,2008

Technology Platform Segmentation

Investor Relations 2018 Leader of Single Wafer Deposition

Inspection of imprint templates Sematech Lithography Workshop May, 2008

Ultra-thin Capacitors for Enabling Miniaturized IoT Applications

Challenges in Manufacturing of optical and EUV Photomasks Martin Sczyrba

Cantilever Based Ultra Fine Pitch Probing

Direct Imaging Solutions for Advanced Fan-Out Wafer-Level and Panel-Level Packaging

ieuvi Mask TWG Prague, Czech Republic October 22, 2009 Lead: Co-Lead:

EECS 598: Integrating Emerging Technologies with Computer Architecture. Lecture 10: Three-Dimensional (3D) Integration

Transforming a Leading-Edge Microprocessor Wafer Fab into a World Class Silicon Foundry. Dr. Thomas de Paly

Manufacturing Challenges for Lithography in the Textured Disc Paradigm. September 18 th, 2008 Babak Heidari

Piper Jaffray Europe Conference London

2015 SEMICON West Analyst Briefing

Technology and Manufacturing

ULTRA-THIN DOUBLE LAYER METROLOGY WITH HIGH LATERAL RESOLUTION. Semicon West 2018, Bernd Srocka

Global and China Semiconductor Equipment Industry Report, Apr. 2012

LITHOGRAPHY CHALLENGES FOR LEADING EDGE 3D PACKAGING APPLICATIONS

Driving the Technology Frontier; Implications on this Cycle Bank of America Merrill Lynch Taiwan, Technology and Beyond Conference

Quality of Semiconductor Raw Materials: Evolution and Challenges. Yongqiang Lu Kevin McLaughlin

Kaufman Brothers 13 th Annual Investor Conference

OPTICAL TECHNOLOGIES FOR TSV INSPECTION Arun A. Aiyer, Frontier Semiconductor 2127 Ringwood Ave, San Jose, California 95131

UBS Global Technology and Services Conference New York City. Craig DeYoung VP, Investor Relations & Corporate Communications

Government of Canada IPv6 Adoption Strategy. IEEE International Conference on Communications (ICC 12) June 14 th, 2012

FST s status on EUV Pellicle & Inspection System Development

Solving Integration Challenges for Printed and Flexible Hybrid Electronics

AIM Photonics Silicon Photonics PDK Overview. March 22, 2017 Brett Attaway

FY2011 Financial Forecast and Basic Management Policy

AT&S Company. Presentation. 3D Component Packaging. in Organic Substrate. Embedded Component. Mark Beesley IPC Apex 2012, San Diego.

Beyond Chip Stacking---Quilt Packaging Enabled 3D Systems

2010 UBS Global Technology and Services Conference

METHOD FOR DETERMINING WAFER FLATNESS USING THE MOVING AVERAGE QUALIFICATION METRIC BASED ON SCANNING LITHOGRAPHY

TMT Conference 2011 Bank of America

The Cornerstone Project:

Case Studies of All-Surface Inspection in a 3DI-TSV R&D Environment. Rolf Shervey Sr. Applications Engineer Rudolph Technologies, Inc.

SOI at the heart of the silicon photonics design. Arnaud Rigny, Business Development Manager Semicon Europa, TechArena

Addressable Test Chip Technology for IC Design and Manufacturing. Dr. David Ouyang CEO, Semitronix Corporation Professor, Zhejiang University 2014/03

Behavioral modeling of crosswafer chip-to-chip process induced non-uniformity. W. CLARK COVENTOR, Villebon sur Yvette, France

CMOS TECHNOLOGY- Chapter 2 in the Text

VEECO FPP Point Probe Operating Manual

Review and adjudication information

Future Trends One Mann s Opinion

Development of innovative ALD materials for high density 3D integrated capacitors

DEPARTMENT WAFER LEVEL SYSTEM INTEGRATION

EEMI450 and global 450mm Cooperation

NAC Institutional Committee Meeting

Maximizing Cost Efficiencies and Productivity for AMOLED Backplane Manufacturing. Elvino da Silveira

TechSearch International, Inc.

Harvey Wohlwend, International SeMaTech

Equipment Market Segmentation

High speed full wafer monitoring of surface, edge and bonding interface for 3D-stacking

CMOSETR Session C1, July 7 (Macroelectronics)

Test and Measurement Challenges for 3D IC Development. R. Robertazzi IBM Research

University of Minnesota Nano Fabrication Center Standard Operating Procedure

Energy Step Code Implementation Strategy. March 26, 2018

Probing 25µm-diameter micro-bumps for Wide-I/O 3D SICs

Bringing Patterned Media to Production with Value Added Metrology

Stakeholder consultation process and online consultation platform

SME License Order Working Group Update - Webinar #3 Call in number:

Renesas recovery; Actual, what was learned and what the industry could do better

DISPLAYPORT TECHNOLOGY UPDATE. Jim Choate VESA Compliance Program Manager June 15, 2017

Embedded UTCP interposers for miniature smart sensors

Work to establish standard ENTSOE STAKEHOLDER COMMITTEE 1

INTERTANKO Vetting seminar 24 th October 2017

CMOS Image Sensors in Automotive Industry ADAS & AUTONOMOUS Are Taking Off. Dec. 2018

B. Riley & Co. 16 th Annual Investor Conference. Jeffrey Andreson, CFO

Overlay control methodology comparison: field-by-field and high-order methods

High Throughput Maskless Lithography

Gateway Transportation Collaboration Forum. 21/01/2015 Gateway Transportation Collaboration Forum 1

Keynote Speaker. Matt Nowak Senior Director Advanced Technology Qualcomm CDMA Technologies

TechSearch International, Inc.

Applications for Mapper technology Bert Jan Kampherbeek

CMP Model Application in RC and Timing Extraction Flow

Maximizing Cost Efficiencies and Productivity for AMOLED Backplane Manufacturing. Elvino da Silveira

High Volume Manufacturing Supply Chain Ecosystem for 2.5D HBM2 ASIC SiPs

Coaching emerit Certified Event Find out what level you are ready for and what you need to JHB

EUV. Frits van Hout Executive Vice President & Chief Program Officer. 24 November 2014

Tangible Value Can be Realized by Standardizing Probe Interfaces

HIPAA Case Study. Long Term Care (LTC) Industry. October 26, Presented by: James Pfeiffer Brian Zoeller

Establishing High Technology Manufacturing -A Western Company s Education and Evolution in Vietnam

Collaboration for Breakthrough Innovation in Human Performance Monitoring for the Warfighter

AIM Photonics Overview Roger Helkey Associate Director, West Coast Hub

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag

e-sens Nordic & Baltic Area Meeting Stockholm April 23rd 2013

Joint Research with imec Belgium Targeting Measurement for 10-nm Generation

Reflectivity metrics for optimization of anti-reflection coatings on wafers with topography

Global and China Semiconductor Equipment Industry Report, Jan. 2014

Olivier Vatel. Accelerated innovation through strategic collaboration: a view from an equipment supplier CTO. July 10, 2018 SE L1

Challenges for Non Volatile Memory (NVM) for Automotive High Temperature Operating Conditions Alexander Muffler

Comparison & highlight on the last 3D TSV technologies trends Romain Fraux

Transcription:

G450C Briefing and Supply Chain Collaboration on 450mm Transition SEMI Northeast Forum Sept. 11,2013

G450C Background Building 450mm wafer / equipment development environment Consists of 5 member companies (Intel, TSMC, GLOBALFOUNDRIES, IBM, Samsung) and New York State partnering with CNSE New York based consortium Built on the base program started under ISMI s 450 Program Collaboratively work with suppliers to develop 450mm equipments Using wafers, equipments, people and cleanroom to develop and test equipment and build up infrastructure to meet industry needs 2

Key Messages Suppliers are developing the 450mm tool set with ~ more than 25 tools being delivered to G450C in 2013 Significant progress in wafer quality Automation and carriers are working Notchless wafer and 1.5mm Edge exclusion Collaboration between IC makers, Tool suppliers, Material suppliers, and Facility group is key to the future 450mm success. 3

Supply Chain Collaboration Supply chain collaboration enables efficient and effective 450mm transition Material Poly Silicon Parts Platform Robot Pump Laser Wafer Supplier Tool Supplier Facility & Automation Semiconductor Manufacturing Company End Customer

Test Wafer Center for Tool Development Collaboration among IC makers and equipment suppliers Focused resources + share the cost + share the risk IC Makers Interaction G450C - EPM -Test wafer - DTM Etch and Plasma Strip CMP, IMP,.. EPM-Equipment Performance Matrix DTM-Demonstration Test Methodology

Development and Technology Intercept Targets G450C Program Early 450mm Development Early Development of Silicon and Infrastructure Test Wafers to support development and demo ISMI 32/22nm Equipment Performance Metrics Tools for Consortium Demonstrations (unit process) 14nm G450C Demonstrations 450mm and 300mm tools progress synchronously through technology generations 10nm and beyond Nominal nm = ITRS M1 Half Pitch Ready for IC Makers Full set of process and metrology, automation ------ 2010 2011 2012 2013 2014 2015 2016 6

G450C Equipment Delivery Plan Accumulated Tool Numbers

G450C Lithography Tool Roadmap 300mm Coupon 450mm Directed Selfassembly (DSA) 450mm Imprint 193i patterning service at Nikon site Coupon + DSA + minimal Imprint Nikon 193i Move-in 8

Wafer Quality Roadmap & Reclaim Capability Wafer quality roadmap 2012 2013 2014 2015 2016 1H 2H 1H 2H 1H 2H 1H 2H 1H 2H Mechanical Wafer M74 Spec Test / Mon Wafer Prime Wafer Q3, 2013 SFQR meet (~95% 98% area) Particle (~90% 100% pass rate) Q2, 2014 M76 Spec M1 Spec Epi Wafer G450C Monitor Wafer reclaim capability G450C On-site ready Q1, 2015 M62 Spec Wafers meet SEMI spec Process Film 2013 1Q 2013 2Q 2013 3Q 2013 4Q 2014 1Q 2014 2Q 1 2 3 4 5 6 7 8 9 10 11 12 1 2 3 4 5 6 FEOL Oxide / Poly / SiN Photo Resist BEOL Metal (Ni/TiN/W/TaN/Cu) Lk film 9

Wafer Quality Continues to Improve Year-to-year defect improvement Defects on / both Wafer defect size and defect density 2010 > 3000 @ 90nm defects/ wafer 2011 ~ 175 @ 90nm defects/ wafer 2012 ~ 66 @ 38nm defects/ wafer Q3-2013 ~30 @ 35nm Most recent ~ 66 @ defects/ 38nm sensitivity wafer 10

Thermal/CMP Module Data Demonstrate Thermal OX capability U%(3s)1.97% (EPM<2%) Demonstrate OX CMP capability U%(3s) 3.8% (EPM<4%) Thermal OX OX CMP 3sigma (3.8%) 1000A Thermal OX 11

Film Test Wafer Availability Schedule : on-site : off-site availability Jun Jul Aug Sep Oct Nov Dec Q1, 14 Q2, 14 Thermal Oxide off site on site PE CVD SiN / SiO2 on site Photo Resist off site LP CVD SiN, Poly on site ALD SiN on site Low k Dielectric on site Ni on site TiN off site Tungsten off site on site TaN Cu seed & Cu off site off site Cu seed Cu on site 12

Metrology Readiness Bare Wafer Particle SE/OCD Tool Type On-Site Tool Status Applications 1 st Tool Operational 2 nd Tool Ready Thickness - Operational OCD Ready to Measure bare Si or films particle dielectric or thin metal film thickness 4P Probe Ready sheet resistance 3DAFM Operational surface roughness, pattern CD and depth TXRF Operational metal ion concentration XRR/XRF Opaque Film Thickness Operational metal thickness Macro Inspection Operational macro defect inspection, EBR distance Defect Review SEM Ready defect review, pattern CD - All basic metrologies for demonstrations are ready now - Advanced wafer geometry working on supplier s site - Overlay Tool Q1, 2014 13

G450C Operations - Status 2013 WAFER INVENTORY WAFER MOVES 50% wafer increases Q1-> Q4 (onsite + offsite) Wafer moves are also increase rapidly 14

G450C Operations - NFN Cleanroom 15 tools installed in NFN cleanroom 3 Process 8 Measurement 4 Ops Support 15

G450C Operations - NFX Cleanroom 450mm OHT is ready for inter-fab transfer 15 tools in-fab (2 metro, 7 process, 6 stocker/sorter) +3 tools ODD 3Q2013, +10 tools ODD 4Q2013 STOCKERS VEHICLE OHT to STOCKER FAB-to-FAB OHT SCRUB BARE SI DEFECT TXRF CLEAN 16

Notchless Wafer Standardization Key dates: June Start tests to select the design of laser fiducial marks July TF submit SNARF to Si committee (North America) Sep MC review to start supplier engagement Oct ~ Jan 14 Submit ballot at SEMI ~ Mar 14 Ballot adjudication by Si Committee Member company representatives: GLOBALFOUNDRIES Dave Gross Intel John Williams IBM Gerd Pfeiffer Samsung Samjong Choi tsmc Vincent YH Chou G450C staff Participating companies: Applied Materials, ASML, KLA-Tencor, Lam Research, Nikon, Tokyo Electron, Sumco, GSI Group, Cognex, Sinfonia, Keyance, Brooks, etc. March April May June July Aug. Sep. Oct Set up framework to collaborate Prepare 300mm wafers; Define, setup, evaluate alternative laser marks Submit SNARF (SEMICON WEST) Prepare ballot for standards Cost / benefit analysis Collect proposals for alternative laser marks (T7 code & others) First tests on alternative laser mark IC process at CNSE and fiducial marks selection Supplier solution development 17

Other Standardization activities 1.5mm Edge Exclusion - M1 FQA Radius from 223mm to 223.5mm - Collaborating with SEMI Si committee and AWG TF Industry Alignment on Component Lifting - Productivity - Safety - Discussing industry guidelines- development of reference designs and/or relevant standard

Precompetitive Cooperation for Cost Reduction SEMI Standards F450C Subcomponent Suppliers Equipment Suppliers Prototypes Facilities Systems, Component, Service Suppliers Reference designs for nondifferentiating components Standard connections Streamlined installation EHS improvements Optimized sizing Effective base build/fit-up Standardization WG Facilities Council Identify focus areas / concepts Define pilot work Demo feasibility of approaches Drive timely adoption Device Makers Test Bed Guidelines 19

Summary G450C has launched with full industry momentum Significant progress is continuing in all areas of the supply chain Suppliers are developing the 450mm tool set with >25 new tools being delivered in 2013 Significant progress in wafer quality Automation and carriers are working Global collaboration is picking up steam 20

Questions and Discussion