AN 756: Altera GPIO to Altera PHYLite Design Implementation Guidelines

Similar documents
Altera I/O Phase-Locked Loop (Altera IOPLL) IP Core User Guide

Intel FPGA PHYLite for Parallel Interfaces IP Core User Guide

Intel Stratix 10 High-Speed LVDS I/O User Guide

Intel Stratix 10 Clocking and PLL User Guide

Intel Stratix 10 Logic Array Blocks and Adaptive Logic Modules User Guide

External Memory Interfaces Intel Arria 10 FPGA IP Design Example User Guide

Intel Cyclone 10 External Memory Interfaces IP Design Example User Guide

Intel Stratix 10 External Memory Interfaces IP Design Example User Guide

Altera I/O Phase-Locked Loop (Altera IOPLL) IP Core User Guide

SerialLite III Streaming IP Core Design Example User Guide for Intel Arria 10 Devices

Intel MAX 10 Clocking and PLL User Guide

Intel MAX 10 Clocking and PLL User Guide

Intel Stratix 10 Analog to Digital Converter User Guide

Interlaken IP Core (2nd Generation) Design Example User Guide

AN 830: Intel FPGA Triple-Speed Ethernet and On-Board PHY Chip Reference Design

Intel Stratix 10 Low Latency 40G Ethernet Design Example User Guide

Intel Arria 10 Native Floating- Point DSP Intel FPGA IP User Guide

High Bandwidth Memory (HBM2) Interface Intel FPGA IP Design Example User Guide

Low Latency 100G Ethernet Intel Stratix 10 FPGA IP Design Example User Guide

AN 830: Intel FPGA Triple-Speed Ethernet and On-Board PHY Chip Reference Design

Intel Stratix 10 H-tile Hard IP for Ethernet Design Example User Guide

SerialLite III Streaming IP Core Design Example User Guide for Intel Stratix 10 Devices

ASMI Parallel II Intel FPGA IP Core User Guide

Altera ASMI Parallel II IP Core User Guide

H-tile Hard IP for Ethernet Intel Stratix 10 FPGA IP Design Example User Guide

Low Latency 100G Ethernet Design Example User Guide

Intel FPGA Temperature Sensor IP Core User Guide

Simulating the ASMI Block in Your Design

Design Guidelines for Intel FPGA DisplayPort Interface

Low Latency 40G Ethernet Example Design User Guide

Intel MAX 10 User Flash Memory User Guide

AN 836: RapidIO II Reference Design for Avalon-ST Pass-Through Interface

Intel MAX 10 High-Speed LVDS I/O User Guide

Intel Stratix 10 General Purpose I/O User Guide

7. External Memory Interfaces in Stratix IV Devices

ALTDQ_DQS2 Megafunction User Guide

Intel FPGA GPIO IP Core User Guide

Intel Stratix 10 Transceiver Usage

Intel Stratix 10 General Purpose I/O User Guide

Intel Xeon with FPGA IP Asynchronous Core Cache Interface (CCI-P) Shim

Intel Stratix 10 External Memory Interfaces IP User Guide

AN 779: Intel FPGA JESD204B IP Core and ADI AD9691 Hardware Checkout Report

Using the Transceiver Reconfiguration Controller for Dynamic Reconfiguration in Arria V and Cyclone V Devices

Remote Update Intel FPGA IP User Guide

Intel Quartus Prime Software Download and Installation Quick Start Guide

Implementing 9.8G CPRI in Arria V GT and ST FPGAs

25G Ethernet Intel Stratix 10 FPGA IP Design Example User Guide

ALTDQ_DQS2 IP Core User Guide

7. External Memory Interfaces in Arria II Devices

Intel Quartus Prime Pro Edition Software and Device Support Release Notes

AN 839: Design Block Reuse Tutorial

Customizable Flash Programmer User Guide

Configuration via Protocol (CvP) Implementation in V-series FPGA Devices User Guide

External Memory Interfaces Intel Arria 10 FPGA IP User Guide

Intel Acceleration Stack for Intel Xeon CPU with FPGAs 1.0 Errata

AN 370: Using the Intel FPGA Serial Flash Loader with the Intel Quartus Prime Software

Intel Cyclone 10 External Memory Interfaces IP User Guide

Using Flexible-LVDS I/O Pins in

Intel MAX 10 General Purpose I/O User Guide

10. Introduction to UniPHY IP

Intel FPGA Voltage Sensor IP Core User Guide

7. External Memory Interfaces in Cyclone IV Devices

Implementing LVDS in Cyclone Devices

9. SEU Mitigation in Cyclone IV Devices

Arria 10 FPGA Development Kit User Guide

External Memory Interfaces Intel Stratix 10 FPGA IP User Guide

AN 825: Partially Reconfiguring a Design on Intel Stratix 10 GX FPGA Development Board

Intel Stratix 10 H-Tile PCIe Link Hardware Validation

5. Clock Networks and PLLs in Stratix IV Devices

Using Flexible-LVDS Circuitry in Mercury Devices

Dynamic Reconfiguration of PMA Controls in Stratix V Devices

Intel Cyclone 10 LP Device Family Pin Connection Guidelines

Generic Serial Flash Interface Intel FPGA IP Core User Guide

Stratix 10 Serial Flash Mailbox Client Intel FPGA IP Core User Guide

Early Power Estimator for Intel Stratix 10 FPGAs User Guide

Quartus II Software Version 10.0 SP1 Device Support

AN 825: Partially Reconfiguring a Design on Intel Stratix 10 GX FPGA Development Board

AN 447: Interfacing Intel FPGA Devices with 3.3/3.0/2.5 V LVTTL/ LVCMOS I/O Systems

Ethernet Link Inspector User Guide v3.0 for Intel Stratix 10 Devices

8. Introduction to UniPHY IP

Intel Arria 10 Native Fixed Point DSP IP Core User Guide

MAX 10 User Flash Memory User Guide

Errata Sheet for Cyclone IV Devices

AN 818: Static Update Partial Reconfiguration Tutorial

External Memory Interfaces in Cyclone V Devices

Intel Quartus Prime Pro Edition

Interfacing RLDRAM II with Stratix II, Stratix,& Stratix GX Devices

3. ALTGX_RECONFIG IP Core User Guide for Stratix IV Devices

Timing Analyzer Quick-Start Tutorial

AN 818: Static Update Partial Reconfiguration Tutorial

11. SEU Mitigation in Stratix IV Devices

AN 610: Implementing Deterministic Latency for CPRI and OBSAI Protocols in Altera Devices

AN 810: Intel FPGA JESD204B IP Core and ADI AD9208 Hardware Checkout Report

AN 826: Hierarchical Partial Reconfiguration Tutorial for Stratix 10 GX FPGA Development Board

9. Functional Description Example Designs

Intel MAX 10 FPGA Device Overview

AN822: Intel Configuration Device Migration Guideline

Ethernet Link Inspector User Guide v4.1 for Intel Stratix 10 Devices

Partial Reconfiguration Solutions IP User Guide

AN 754: MIPI D-PHY Solution with Passive Resistor Networks in Intel Low-Cost FPGAs

Transcription:

AN 756: Altera GPIO to Altera PHYLite Design Implementation Guidelines AN-756 2017.05.08 Subscribe Send Feedback

Contents Contents 1...3 1.1 Implementing the Altera PHYLite Design... 3 1.1.1 Parameter Settings... 4 1.2 Mapping the Interface Signals... 5 1.3 Performance Comparison... 7 1.4 Use Case Examples...8 1.4.1 Use Case 1: Source Synchronous I/O Interface...8 1.4.2 Use Case 2: Driving from FPGA through GPIO to External Device... 9 1.4.3 Use Case 3: Multiple-Speed Parallel Interfaces... 11 1.4.4 Use Case 4: GPIO Interface Using 3.0V/2.5V I/O Standard... 12 1.4.5 Use Case 5: Generating Output through GPIO Output Pin...12 1.5 Altera GPIO to Altera PHYLite Design Example... 13 1.5.1 Simulation Diagrams... 13 1.5.2 Design Verification... 16 1.6 Document Revision History...17 2

1 AN 756: Altera GPIO to Altera PHYLite Design Implementation Guidelines Intel offers the Altera PHYLite for Parallel Interfaces IP core as an alternative solution for the Altera GPIO IP core for high speed applications that fail to close timing. The Altera PHYLite for Parallel Interfaces IP core (or Altera PHYLite IP core) enables your design to achieve better timing performance. Intel recommends that you use the Altera PHYLite IP core for general purpose I/O (GPIO) data rates higher than 200 Mbps to achieve optimal out signal. Note: Switching an Altera GPIO design to the Altera PHYLite IP core is very resource intensive. The Altera PHYLite IP core uses up the IOPLL, the phy_clk network, in the particular I/O bank. You may not be able to use the external memory interfaces and the Altera PHYLite IP core as normal memory protocols in this I/O bank unless they operate at the frequency multiplication of the Altera GPIO interface being switched. You also cannot use the Altera LVDS SERDES IP core in the same I/O bank with Altera PHYLite IP core. Related Links Altera PHYLite for Parallel Interfaces IP Core User Guide Provides more information about the PHYLite IP Core. Altera GPIO IP Core User Guide Provides more information about the GPIO IP Core. 1.1 Implementing the Altera PHYLite Design You can generate the Altera PHYLite design using the Intel Quartus Prime software, and customize the settings. To implement your Altera GPIO IP core design to the Altera PHYLite IP core, follow these steps: 1. Generate a PHYLite design in the Quartus Prime software. Customize the design based on the Parameter Settings on page 4. 2. Connect the modules and the input and output ports, as shown in the following figures. Intel Corporation. All rights reserved. Intel, the Intel logo, Altera, Arria, Cyclone, Enpirion, MAX, Nios, Quartus and Stratix words and logos are trademarks of Intel Corporation or its subsidiaries in the U.S. and/or other countries. Intel warrants performance of its FPGA and semiconductor products to current specifications in accordance with Intel's standard warranty, but reserves the right to make changes to any products and services at any time without notice. Intel assumes no responsibility or liability arising out of the application or use of any information, product, or service described herein except as expressly agreed to in writing by Intel. Intel customers are advised to obtain the latest version of device specifications before relying on any published information and before placing orders for products or services. *Other names and brands may be claimed as the property of others. ISO 9001:2008 Registered

Figure 1. Input Interface Altera PHYLite DDR Input data_in rdata_en strobe_in ref_clk reset_n group_0_data_in[0:0] group_0_rdata_en[0:0] group_0_strobe_in group_0_ref_clk group_0_reset_n core_clk_out group_0_data_to_core[1:0] group_0_rdata_valid[0:0] interface_locked core_clk_out data_to_core[1:0] rdata_valid interface_locked Figure 2. Output Interface Altera PHYLite DDR Output data_from_core[1:0] oe_from_core strobe_out_en ref_clk reset_n group_0_data_from_core[1:0] group_0_oe_from_core[0:0] group_0_strobe_out_en ref_clk reset_n core_clk_out group_0_data_out[0:0] group_0_strobe_out interface_locked core_clk_out data_out strobe_out interface_locked 1.1.1 Parameter Settings Follow the setting guidelines to instantiate a 1-bit single data rate (SDR) or double data rate (DDR) design. Table 1. Parameter Settings Guidelines Parameter Setting Notes Number of groups 1 General Tab Interface clock frequency Use core PLL reference clock connection Use recommended PLL reference clock frequency rate of user logic Configure the frequency based on the required data rate. Turn on if your reference clock source is from the output of PLL or clock source from the core. Turn on if the default frequency matches your reference clock frequency. Else, turn off the option and choose the desired reference clock frequency from the drop down list. Specify the clock frequency to full, half, or quarter, based on the core logic. The interface clock frequency is equal to data rate speed for SDR and half of the data rate speed for DDR. For example, if the data rate speed sent from the FPGA to the external device is toggling at 200 Mbps in DDR mode, a half rate interface means that the user logic in the FPGA runs at 100 MHz. I/O standard Specify the desired I/O standard. Group 0 Tab continued... 4

Parameter Setting Notes Note: In the Altera PHYLite IP core, the strobe_in and strobe_out ports are equivalent to the source synchronous clock interface to the input and output data. Pin type Specify based on the direction of the data pins. Pin width Specify the desired data width. DDR/SDR Specify the desired data rate mode. Read Latency (When you configure the Altera PHYLite IP core as an input interface.) Capture strobe phase shifty Write Latency (When you configure the Altera PHYLite IP core as an output interface.) Use output strobe Output strobe phase configuration Strobe configuration Specify the expected read latency. Configure based on the desired phase shift of the input strobe relative to the input data. Specify a value within 0 3 Turn on if you want to enable the output strobe pin. Specify based on the desired phase relationship between data and strobe being output from the IP core. Specify the pin configuration of the data to be used as single ended or differential signaling. Specify the type of strobe pin configuration to be used as single ended or differential signaling. For example, a design with an external clock frequency of 100 MHz in full rate has a valid read latency of 3 63 external interface clock cycles. For example in DDR mode, configure the phase shift to 90 would shift the edge-aligned input data/strobe to centeralignment at the read FIFO. Indicates the number of external interface clock cycles to delay the output data. For example in DDR mode, configuring the phase shift to 90 would shift the strobe to center align with the output data Use Default OCT Values Turned on by default. Turn off if you want to configure your desired input or output OCT values or you do not want any termination. Generate Input/Output Delay Constraints for this group Turned on by default. Specifies the input/output delay setup or hold constraint against the input/output strobe of the group. Related Links Altera GPIO Parameter Settings Altera PHYLite for Parallel Interfaces Parameter Settings 1.2 Mapping the Interface Signals There are several differences in the interface signals between Altera GPIO and Altera PHYLite IP cores. 5

Table 2. Mapping the Altera GPIO Interface Signals to Altera PHYLite IP Core Altera GPIO IP Core Direction Altera PHYLite IP Core ck Input/Output ref_clk aclr Input/Output reset datain Input data_in dataout_[h/l] Input data_to_core[1:0] datain_[h/l] Output data_from_core[1:0] oe Output oe_data dataout Output data_out Table 3. Additional Altera PHYLite Input and Output Signals PHYLite Direction Description interface_locked Input/Output Similar to the lock signal from the I/O phase locked loop (IOPLL). Typically the Altera GPIO IP core uses the IOPLL to generate the clock signal. core_clk_out Input/Output Similar to the clock signal used for periphery-to-core or core-to-periphery transfer in the Altera GPIO IP core. rdata_en Input Drive this signal high to ensure the input data/strobe port is always ready to receive incoming data. strobe_in Input Sampling clock for the Altera PHYLite IP core that captures the input data. strobe_out Output A generated clock/strobe signal from the PHYLite IP core. Similar to the synchronous clock out signal when using the GPIO IP core. strobe_out_en Output Drive this signal high to ensure the Altera PHYLite IP core is always generating the source synchronous clock/strobe through the strobe_out port. strobe_out_in[1:0] Output Tie strobe_out_in[0] to high and strobe_out_in[1] to low to generate a clock signal. This interface signal is generated in a similar manner you use the Altera GPIO IP core to generate the clock signal. rdata_valid Input An output signal from the Altera PHYLite IP core that indicates the IP core has transmitted the data and the data is ready to be captured by the user logic. Related Links Altera PHYLite for Parallel Interfaces IP Core Placement Restrictions Provides more information about the pin placement restrictions. Altera GPIO Interface Signals 6

Altera PHYLite for Parallel Interfaces Signals 1.3 Performance Comparison The performance comparison between the Altera GPIO and Altera PHYLite for Parallel Interfaces IP cores measures the setup and hold timing slack window at 200 Mbps. The comparison between Altera GPIO and Altera PHYLite for Parallel Interfaces IP cores are based on the preliminary timing model in the Quartus Prime software version 15.1, using Arria 10 device (10AX115S2F45I2SGE2). The analysis is done using a simplified design with no user logic in the IP cores. Note: Table 4. The timing slack in the following tables do not account for any setup or hold requirements at the receiver or any channel skew between clock and data. Timing Analysis for Source Synchronous I/O Using GPIO Path Input/ Output SDR/DDR Architecture Network Worst Setup Slack Worst Hold Slack Slack Window (Setup + Hold) 1 GPIO Input DDR on 0 on 90 (centeraligned) Global 1.093 1.177 2.270 Regional 1.149 1.235 2.384 Periphery 1.360 1.415 2.775 SDR on 0 on 180 (centeraligned) Global 1.188 1.227 2.415 Regional 1.214 1.223 2.452 Periphery 1.409 1.404 2.462 GPIO Output DDR on 0 on 90 (centeraligned) Global 0.023 1.831 1.854 Regional 0.129 1.844 1.973 Periphery 0.193 1.968 2.161 SDR on 0 on 180 (centeraligned) Global 0.835 1.541 2.376 Regional 0.408 1.738 2.146 Periphery 0.668 1.842 2.186 1 Based from multi corner timing analysis. 7

Table 5. Timing Analysis for Source Synchronous I/O Using Altera PHYLite IP Core Input/ Output SDR/DDR Architecture Network Worst Setup Slack Worst Hold Slack Slack Window (Setup + Hold) Input (1-bit Input) DDR on 0 Strobe on 90 (centeraligned) 2.054 1.995 4.049 on 0 Output (1-bit Input) SDR DDR Strobe on 180 (centeraligned) on 0 Strobe on 90 (centeraligned) PHY clock 2.064 2.020 4.084 2.197 2.216 4.413 on 0 SDR Strobe on 180 (centeraligned) 2.239 2.209 4.448 In summary, the timing analysis on the source synchronous I/O implementation on the PHYLite IP core provides much larger timing slack window compared to the GPIO IP core. The PHYLite IP core uses the PHY clock network instead of the global clock networks in the core. The change in the clock network enables the PHYLite IP core to achieve better timing performance and avoid core noise effect. 1.4 Use Case Examples You use the use case examples as guidelines to migrate the Altera GPIO IP core application to the Altera PHYLite IP core. 1.4.1 Use Case 1: Source Synchronous I/O Interface Example 1 shows how you can migrate the source synchronous I/O interface implemented using GPIO to the Altera PHYLite IP core. 8

Figure 3. Migration of Source Synchronous Output Interface Using GPIO (Output Path) to Altera PHYLite Reference Core from Internal/External PLL Global Network GPIO GPIO Reference from Core or from Dedicated In Pin ref_clk PHY Lite I/O GPIO PLL I/O Lane PHY Strobe Out/ Figure 4. Migration of Source Synchronous Input Interface Using GPIO (Input Path) to Altera PHYLite GPIO Global Network I/O PLL PHY Lite I/O GPIO PLL I/O Lane PHY Strobe In Ref 1.4.2 Use Case 2: Driving from FPGA through GPIO to External Device Example 2 describes the driving of data from FPGA through GPIO to an external device with a shared oscillator clock. This case uses the PLL output clock as a sampling clock to the user logic. The oscillator clock goes into the FPGA GCLK network to drive the output data through GPIO to Off-chip. Off-chip clock uses the shared oscillator. The clock source of the other user logic comes from the PLL clock out. 9

You can use one of the two following methods to migrate the GPIO to Altera PHYLite IP core using the output clock from the IOPLL supplied to the user logic: 1. Generate the output clock from the Altera PHYLite IP core's output clock. The PHYLite IP core can export 4 additional IOPLL output clocks based on the specified configuration. You can calculate the actual support clock frequency based on the formula: Output Frequency = VCO Frequency/ c Counter c Counter = Integers within 1 511 Voltage-controlled oscillator (VCO) frequency = (Memory/Interface clock frequency) (VCO frequency multiplication factor) Note: Refer to the Altera PHYLite for Parallel Interfaces IP core parameter editor for more information. Figure 5. Generating Output from PHYLite Output Off Chip FPGA May or May Not Have PLL GPIO Global Network I/O PLL GPIO PLL Off Chip May or May Not Have PLL User Logic Oscillator PHY Lite I/O GPIO PLL I/O Lane PHY ref_clk Off Chip GPIO PLL Off Chip May or May Not Have PLL User Logic Oscillator 2. Instantiate a new IOPLL in the adjacent I/O bank (where the PHYLite block resides), if the Altera PHYLite IOPLL output clocks do not support the desired output clock frequency. 10

Figure 6. Instantiating a New I/O PLL PHY Lite I/O GPIO PLL I/O Lane PHY Off Chip Off Chip May or May Not Have PLL I/O Bank 1 ref_clk FPGA May or May Not Have PLL I/O Bank 2 IOPLL User Logic Oscillator GPIO PLL 1.4.3 Use Case 3: Multiple-Speed Parallel Interfaces Example 3 shows multiple-speed parallel interfaces with specific reference clock frequency. To migrate the multi-speed parallel interface GPIO solution to Altera PHYLite, you must split the solution into two different I/O banks to run at different data rate. Figure 7. Multiple-Speed Parallel Interfaces FPGA May or May Not Have PLL Oscillator 1 GPIO 1 I/O PLL Global Network Oscillator 2 GPIO 2 Oscillator 1 Oscillator 2 PHY Lite ref_clk I/O GPIO PLL I/O Lane PHY Network I/O Bank 1 PHY Lite ref_clk I/O GPIO PLL I/O Lane PHY Network I/O Bank 2 11

1.4.4 Use Case 4: GPIO Interface Using 3.0V/2.5V I/O Standard The current version of the Altera PHYLite IP core does not support 3.0V/2.5V I/O standard. You can manually set the pin to use 3.0V/2.5V I/O standard through the Quartus Prime assignment editor. 1.4.5 Use Case 5: Generating Output through GPIO Output Pin Example 5 describes the generation of an output clock through a GPIO output pin. The source of the output clock is from the transceiver SERDES recovered clock. Figure 8. Recovered from Transceiver Produced Through GPIO Interface Reference SERDES Regular GPIO Pin SERDES Transceiver IP Recovered Global Network May/May Not Use External PLL SERDES Transceiver IP Reference SERDES Generate the output clock through the PHYLite IP core if you use a regular I/O. Figure 9. Recovered Feeding Through I/O PLL to Generate Output Using Dedicated Out Pin Dedicated Out Pin May/May Not Use External PLL Reference SERDES SERDES I/O PLL Recovered SERDES Reference SERDES Transceiver IP Transceiver IP 12

Figure 10. Recovered Feeding Through Altera PHYLite IP Core to Generate Output Using Regular GPIO Pin Reference SERDES SERDES Transceiver IP Global Network Recovered Regular I/O Pin PHY Lite I/O GPIO PLL I/O Lane PHY May/May Not Use External PLL SERDES Transceiver IP Reference SERDES Generating the recovered clock through a dedicated clock out pin from the IOPLL or through the Altera PHYLite IP core results in equivalent jitter performance. The preferred solution is to use the IOPLL, because using the Altera PHYLite IP core is resource intensive. 1.5 Altera GPIO to Altera PHYLite Design Example You can use the provided design example as a reference to instantiate the Altera PHYLite IP core (input and output in double-data rate mode). The Altera GPIO to Altera PHYLite design example provides the Altera PHYLite configuration to mimic the GPIO input and output path usage and the expected behavior in simulation and hardware. The design example consists the following components: Pattern generator (tx_data_output) to generate fixed serial 1100 pattern transmitting through the Altera PHYLite output (ddr_out) Two Altera PHYLite instances configured to mimic the GPIO input and output path usage to transmit and receive source synchronous data respectively. The reset_n signal connects to the push button switch to control the reset port for the pattern generator and Altera PHYLite input and output instances. Related Links Altera GPIO to Altera PHYLite Design Provides the design files for the Altera GPIO to Altera PHYLite design example. 1.5.1 Simulation Diagrams The simulation diagrams show the behavior of the signals in the design example. 13

Figure 11. Pattern Generator Starts Generating Fixed 1100 Pattern The pattern generator begins generating the fixed pattern to the Altera PHYLite output when the Altera PHYLite output generates the clock signal to the core through the core_clk_out port. Figure 12. Interface Locked Signals from Altera PHYLite Instances The rdata_en, oe, and strobe_out_en signals of the Altera PHYLite input (ddr_in) and output (ddr_out) are always asserted after the interface_locked signal of the Altera PHYLite instances transitions from low to high. The interface_locked signal has to be high to indicate that all the necessary clocks in the Altera PHYLite instances function correctly. 14

Figure 13. Generated Strobe Signal Edge-Aligned with Out Signal To simulate the behavior of the Altera PHYLite output, the fixed serial 1100 pattern transmits through the Altera PHYLite output dataout pin after the interface_locked signal locks. The strobe_out_en signal remain asserted to generate the synchronous clock and strobe signals transmitted through the clkout pin with the Altera PHYLite output serial data. Figure 14. Out and Out Signals Loopback To simulate the behavior of Altera PHYLite input instance, the dataout and clkout signals loop back to the data_in and strobe_in of the Altera PHYLite input interface. 15

Figure 15. PHYLite Input Instance Transfers Valid Loopback Signals to the IP Core The loopback signals received by the PHYLite input instance transfer to the IP core when the rdata_valid signal is high. Figure 16. Identical Received and Generated The data transfered to the IP core should look identical to the data generated by the pattern generator in the IP core. 1.5.2 Design Verification You can verify the Altera GPIO to Altera PHYLite design example using the Intel Arria 10 FPGA development kit. To verify the design example, you require the following hardware: Arria 10 FPGA development kit Loopback FPGA Mezzanine Card (FMC) attached to the FMC port B (FMCB) Download Cable II The design example demonstrates a simple loopback that loops back the fixed serial 1100 pattern transmitted from the Altera PHYLite output (ddr_out) to the Altera PHYLite input (ddr_in) through the Loopback FMC daughter card. 16

The Altera PHYLite output signals from the dataout and clkout pins connect directly to the data_in and strobe_in pins that feed the Altera PHYLite input. The data received from the Altera PHYLite input after you program the SOF in the Signal Tap Logic Analyzer should be the same as the data transmitted from the IP core through the Altera PHYLite output. Figure 17. Signal Tap Results for from the IP Core This figure shows the Signal Tap results captured for the data from the IP core, (using the auto_signaltap_0 instance in the.stp file). Figure 18. Signal Tap Results for to the IP Core This figure shows the Signal Tap results captured for the data to the IP core after the rdata_valid signal goes high, (using the auto_signaltap_1 instance in the.stp file). 1.6 Document Revision History The following table lists the revision history for this document. Table 6. Document Revision History Date Version Changes May 2017 2017.05.08 Rebranded as Intel. December 2016 2016.12.30 Edited the link to the design files. December 2015 2015.12.14 Initial release. 17