ALTDQ_DQS2 Megafunction User Guide

Size: px
Start display at page:

Download "ALTDQ_DQS2 Megafunction User Guide"

Transcription

1 ALTDQ_DQS2 Megafunction ALTDQ_DQS2 Megafunction 101 Innovation Drive San Jose, CA UG Feedback Subscribe

2 2013 Altera Corporation. All rights reserved. ALTERA, ARRIA, CYCLONE, HARDCOPY, MAX, MEGACORE, NIOS, QUARTUS and STRATIX words and logos are trademarks of Altera Corporation and registered in the U.S. Patent and Trademark Office and in other countries. All other words and logos identified as trademarks or service marks are the property of their respective holders as described at Altera warrants performance of its semiconductor products to current specifications in accordance with Altera's standard warranty, but reserves the right to make changes to any products and services at any time without notice. Altera assumes no responsibility or liability arising out of the application or use of any information, product, or service described herein except as expressly agreed to in writing by Altera. Altera customers are advised to obtain the latest version of device specifications before relying on any published information and before placing orders for products or services. ISO 9001:2008 Registered January 2013 Altera Corporation ALTDQ_DQS2 Megafunction

3 1. About This Megafunction UG This user guide describes the features of the ALTDQ_DQS2 megafunction that you can configure through the MegaWizard interface in the Quartus II software. The ALTDQ_DQS2 megafunction controls the double data rate (DDR) I/O elements (IOEs) for the data (DQ) and data strobe (DQS) signals in Arria V, Cyclone V, and Stratix V devices. A DQ group is composed of one DQS, one optional complementary DQS, and up to 36 configurable DQ I/Os. Features f This user guide assumes that you are familiar with megafunctions and how to create them. If you are unfamiliar with Altera megafunctions or the MegaWizard interface, refer to the Megafunction Overview. The ALTDQ_DQS2 megafunction provides the following features: Access to dynamic on-chip termination (OCT) controls to switch between parallel termination during reads and series termination during writes. High-performance support for DDR interface standards. 4- to 36-bit programmable DQ group widths. Half-rate registers to enable successful data transfers between the I/O registers and the core logic. Access to I/O delay chains to fine-tune delays on the data or strobe signals. Access to hard read FIFO. Access to latency shifter FIFO and data valid FIFO for efficient control of DQS gating and read operations (Arria V and Cyclone V devices only) Altera Corporation. All rights reserved. ALTERA, ARRIA, CYCLONE, HARDCOPY, MAX, MEGACORE, NIOS, QUARTUS and STRATIX words and logos are trademarks of Altera Corporation and registered in the U.S. Patent and Trademark Office and in other countries. All other words and logos identified as trademarks or service marks are the property of their respective holders as described at Altera warrants performance of its semiconductor products to current specifications in accordance with Altera's standard warranty, but reserves the right to make changes to any products and services at any time without notice. Altera assumes no responsibility or liability arising out of the application or use of any information, product, or service described herein except as expressly agreed to in writing by Altera. Altera customers are advised to obtain the latest version of device specifications before relying on any published information and before placing orders for products or services. ISO 9001:2008 Registered ALTDQ_DQS2 Megafunction January 2013 Subscribe

4 1 2 Chapter 1: About This Megafunction Device Support Device Support The ALTDQ_DQS2 megafunction supports Arria V, Cyclone V, and Stratix V devices. Resource Utilization and Performance For details about the resource usage and performance of your design, refer to the compilation reports in the Quartus II software. To view the compilation reports in the Quartus II software, follow these steps: 1. On the Processing menu, click Start Compilation to run a full compilation. 2. After compiling the design, on the Processing menu, click Compilation Report. 3. In the Table of Contents browser, expand the Fitter folder by clicking the + icon. 4. Under Fitter, expand Resource section, and select Resource Usage Summary to view the resource usage information. 5. Under Fitter, expand Resource section, and select Resource Utilization by Entity to view the resource utilization information. ALTDQ_DQS2 Megafunction January 2013 Altera Corporation

5 2. Parameter Settings UG This section describes the parameter settings for the ALTDQ_DQS2 megafunction. You can instantiate and parameterize the megafunction using either the MegaWizard Plug-In Manager or the ip-generate command through the command-line interface (CLI). f For more information about using the ip-generate command, refer to IP-Generate Command on page A 1. 1 Altera recommends that you configure the megafunction using the MegaWizard Plug-In Manager. Table 2 1. ALTDQ_DQS2 Parameter Settings (Part 1 of 5) General Settings MegaWizard Parameter CLI Parameter Name Legal s Name Legal s (1) Pin width 1 to 36 PIN_WIDTH 1 to 36 Pin type input, output, bidir Extra output-only pins 0 to 36 PIN_TYPE EXTRA_OUTPUT_ WIDTH input,output, bidir 0 to 36 Memory frequency INPUT_FREQ Description This setting specifies the number of data (DQ) pins to make as part of this DQS group. The default value is 9 (9). This setting specifies the direction of the data pins (input, output, or bidirectional). The default value is bidir (bidir). This setting specifies the extra output pins that you need as part of a DQS group. A common use for this setting is to add datamask pins. The default value is 0 (0). This setting specifies the full-rate clock frequency of the incoming DQS group signal from the external device in MHz. The default value is 300 MHz (300) Altera Corporation. All rights reserved. ALTERA, ARRIA, CYCLONE, HARDCOPY, MAX, MEGACORE, NIOS, QUARTUS and STRATIX words and logos are trademarks of Altera Corporation and registered in the U.S. Patent and Trademark Office and in other countries. All other words and logos identified as trademarks or service marks are the property of their respective holders as described at Altera warrants performance of its semiconductor products to current specifications in accordance with Altera's standard warranty, but reserves the right to make changes to any products and services at any time without notice. Altera assumes no responsibility or liability arising out of the application or use of any information, product, or service described herein except as expressly agreed to in writing by Altera. Altera customers are advised to obtain the latest version of device specifications before relying on any published information and before placing orders for products or services. ISO 9001:2008 Registered ALTDQ_DQS2 Megafunction January 2013 Subscribe

6 2 2 Chapter 2: Parameter Settings Table 2 1. ALTDQ_DQS2 Parameter Settings (Part 2 of 5) Use DLL Offset Control USE_OFFSET_CTRL true, false Enable hard FIFOs USE_HARD_FIFOS true, false Use Capture Clock to clock the read Side of the Hard VFIFO Enable dual write clocks MegaWizard Parameter Use dynamic configuration scan chains USE_DQSIN_FOR_ VFIFO_READ CLI Parameter Name Legal s Name Legal s (1) true, false DUAL_WRITE_CLOCK true, false USE_DYNAMIC_CONFIG true, false Description This setting enables dynamic control of the DLL offset. Altera recommends using this setting for test purposes only. For DQS data capture calibration, use the D1, D2, D3, and D4 delay chains. This setting enables the hard FIFOs (read FIFO for Stratix V devices and read FIFO, latency shifter FIFO and data valid FIFO for Arria V and Cyclone V devices) as part of the ALTDQ_DQS2 megafunction. Turn on this setting when you use the hard data valid FIFO and when the capture clock is not gated. This setting is available only for Arria V and Cyclone V devices. This setting enables the use of separate output clocks for data and strobe. This setting is disabled by default for Arria V and Cyclone V devices. This setting enables run-time configuration of multiple delay chains, phase shifts, and transfer registers. Requires a correctly formatted bitstream. For more information, refer to DQS Configuration Block Bit Sequence for Stratix V Devices on page 4 5 and DQS Configuration Block Bit Sequence for Arria V and Cyclone V Devices on page ALTDQ_DQS2 Megafunction January 2013 Altera Corporation

7 Chapter 2: Parameter Settings 2 3 Table 2 1. ALTDQ_DQS2 Parameter Settings (Part 3 of 5) Output Path Use half-rate output path Use output phase alignment blocks Capture Strobe Capture strobe type Use inverted capture strobe DQS phase shift MegaWizard Parameter HALF_RATE_OUTPUT true, false Single, Differential, Complimentary 0, 45, 90, 135 degrees USE_OUTPUT_PHASE_ ALIGNMENT CAPTURE_STROBE_ TYPE INVERT_CAPTURE_ STROBE CLI Parameter Name Legal s Name Legal s (1) true, false single, differential, complimentary true, false DQS_PHASE_SETTING 0, 1, 2, 3 Description This setting doubles the width of the data bus on the FPGA side and clocks the FPGA side interface using the half-rate clock input. If this setting is enabled, drive the hr_clock_in port with the half-rate clock signal. When enabling hard read FIFO in a Stratix V device, you must set this parameter to true. This setting is enabled by default. This setting enables phase shift on the output path based on the delay settings from the DLL. This setting is disabled by default. This setting specifies the type of capture strobe (DQS signal from the external device). The default value is Single (single). When enabled, this parameter captures data with an inverted capture strobe. Strobe inversion occurs from dqsbusout (an output port from the DQS delay chain block) to the clock input of the DDIO_IN block. This setting is enabled by default. This setting specifies the phase shift value for the DQS delay chain to shift the incoming strobe in the data valid window during read and write operations. The default value is 90 degrees (2). This setting is for Stratix V devices only. January 2013 Altera Corporation ALTDQ_DQS2 Megafunction

8 2 4 Chapter 2: Parameter Settings Table 2 1. ALTDQ_DQS2 Parameter Settings (Part 4 of 5) Use capture strobe enable block Treat the capture strobe enable as a half-rate signal DQS enable phase setting Output Strobe MegaWizard Parameter USE_DQS_ENABLE true, false 0, 45, 90, 135 degrees USE_HALF_RATE_DQS_ ENABLE DQS_ENABLE_PHASE_ SETTING true, false 0, 1, 2, 3 Generate output strobe USE_OUTPUT_STROBE true, false Make capture strobe bidirectional Differential/ complimentary output strobe Use reset signal to stop output strobe USE_BIDIR_STROBE true, false DIFFERENTIAL_ OUTPUT_STROBE CLI Parameter Name Legal s Name Legal s (1) USE_OUTPUT_STROBE_ RESET true, false true, false Description This setting enables the capture strobe enable block, which allows control over the preamble state of the capture strobe. This setting is disabled by default. This setting doubles the width of the capture strobe enable bus on the FPGA side and clocks the FPGA side interface using the half-rate clock input. This setting specifies the value of phase shift to shift the full-rate clock signal that drives the capture strobe enable block. The default value is 0 degrees (0). This setting generates an output strobe signal based on the OE signal and the full-rate clock. This setting is enabled by default. This setting enables the bidirectional capture strobe (capture strobe and output strobe is on the same port). This setting is disabled by default. This setting enables either the differential or complimentary output strobe. This setting is disabled by default. This setting stops the unidirectional output strobe using a user-provided reset signal. The core_clock_in and the reset_n_core_clock_in signals are required. ALTDQ_DQS2 Megafunction January 2013 Altera Corporation

9 Chapter 2: Parameter Settings 2 5 Table 2 1. ALTDQ_DQS2 Parameter Settings (Part 5 of 5) OCT Source Preamble type Note to Table 2 1: MegaWizard Parameter Output Strobe Enable, Data Write Enable, Dedicated OCT Enable high, low, none OCT_SOURCE 0,1,2 PREAMBLE_TYPE CLI Parameter Name Legal s Name Legal s (1) high, low, none (1) All CLI parameter values are type string, therefore you must enclose the values in double quotes. Description This setting specifies the type of input signal to toggle the OCT control: Output Strobe Enable Uses the output_strobe_ena input as the OCT control signal. Data Write Enable Uses the write_oe_in input as the OCT control signal. Dedicated OCT Enable Adds a oct_ena_in input to the interface, which is used as the OCT control signal. The availability of the Output Strobe Enable, Data Write Enable, and Dedicated OCT Enable are dependent on PIN_TYPE and USE_BIDIR_STROBE parameters. Default value is Data Write Enable. This setting sets the DQS preamble to high (DDR3), low (DDR2, LPDDR2), or none: When you select low and the strobe is bidirectional, the output strobe is held low for the first full rate cycle. When you select high or none, the strobe is driven high for the first full rate cycle. Default value is low. January 2013 Altera Corporation ALTDQ_DQS2 Megafunction

10 2 6 Chapter 2: Parameter Settings ALTDQ_DQS2 Megafunction January 2013 Altera Corporation

11 3. Functional Description UG ALTDQ_DQS2 Datapaths This section describes the read and write datapaths and using other megafunctions with the ALTDQ_DQS2 megafunction. DQ and DQS Input Path The DQ and DQS input paths receive the DQ and DQS signals from the external device during read operations. Figure 3 1 shows the input paths where x = 0 to (n-1) and n = the number of DQ pins. Figure 3 1. DQ and DQS Input Paths for Stratix V Devices capture_strobe_ena strobe_ena_clock_in DQS Enable Control IN OUT capture_strobe_in (from DQS pin) (2) PRE D Q DQS Delay Chain DQSBUSOUT DQSIN capture_strobe_out (5) DQS Enable read_data_in[x] (from DQ pin) (1) IN LO read_data_out[x] CLK HI read_data_out[n+x] Notes to Figure 3 1: DDIO_IN (3), (4) (1) For bidirectional DQ, the input of the buffer connects to the read_write_data_io[x] port. (2) For bidirectional DQS, the input of the buffer connects to the strobe_io port. (3) You can use the DDIO_IN block with a soft or hard read FIFO in Stratix V devices. However, you can use this block with only a hard read FIFO in Arria V and Cyclone V devices. (4) When the hard read FIFO block is not present, the DQ and DQS path ends at the DDIO_IN block. For more information about the DQ and DQS input path with a hard read FIFO block, refer to Figure 3 2 and Figure 3 3. (5) Optional inversion occurs from dqsbusout (output port from the DQS delay chain block) to the clock input of the DDIO_IN block. The DQ and DQS input paths in Arria V and Cyclone V devices are the same, except for an additional read FIFO block to implement the second-stage rate conversion DDIO. The high-speed 4 x 8 read FIFO, clocked by the DQS clock, implements the half-rate to full-rate conversion, if necessary Altera Corporation. All rights reserved. ALTERA, ARRIA, CYCLONE, HARDCOPY, MAX, MEGACORE, NIOS, QUARTUS and STRATIX words and logos are trademarks of Altera Corporation and registered in the U.S. Patent and Trademark Office and in other countries. All other words and logos identified as trademarks or service marks are the property of their respective holders as described at Altera warrants performance of its semiconductor products to current specifications in accordance with Altera's standard warranty, but reserves the right to make changes to any products and services at any time without notice. Altera assumes no responsibility or liability arising out of the application or use of any information, product, or service described herein except as expressly agreed to in writing by Altera. Altera customers are advised to obtain the latest version of device specifications before relying on any published information and before placing orders for products or services. ISO 9001:2008 Registered ALTDQ_DQS2 Megafunction January 2013 Subscribe

12 3 2 Chapter 3: Functional Description ALTDQ_DQS2 Datapaths Figure 3 2 shows the data input path (when you enable the hard read FIFO) for Arria V, Cyclone V, and Stratix V devices. Figure 3 2. Data Input Path for Arria V, Cyclone V, and Stratix V Devices (1) DATAIN Delay Chain DDIO In FIFO WREN Logic WREN Read FIFO REN FIFO REN Logic DATAOUT[0] DATAOUT[1] DATAOUT[2] DATAOUT[3] HR or FR Clock DQS from DQS Logic Note to Figure 3 2: (1) Figure 3 2 is applicable to Stratix V devices because Stratix V devices support optional hard read FIFO. Table 3 1. DQ and DQS input Path Table 3 1 lists the blocks in the DQ and DQS input paths. Block name DQS enable DQS enable control DQS delay chain Description Represents the AND-gate control on the DQS input that grounds the DQS input strobe when the strobe goes to Hi-Z after a DDR read postamble. The DQS enable block enables the registers to allow enough time for the DQS delay settings to travel from the DQS phase-shift circuitry or core logic to all the DQS logic blocks before the next change. For more information about the DQS enable block, refer to the Update Enable Circuitry in the External Memory Interfaces chapter in the respective device handbook. Represents the circuitry that controls the DQS enable block. A DQS enable control block controls each DQS enable block. For more information about the DQS enable control block, refer to the DQS Postamble Circuitry in the External Memory Interfaces chapter in the respective device handbook. Represents the delay chains that delay signals. For more information about the DQS delay chain block, refer to DQS Delay Chain in the External Memory Interfaces chapter in the respective device handbook. DQS Logic The DQS input path in Arria V and Cyclone V devices has the following differences from Stratix V and earlier versions of the device families: A data valid FIFO delays the DQS enable path by up to 16 full-rate cycles. During a required calibration process, you can increase the unknown delay, which the data valid FIFO implements, by 1, by pulsing the INC_WR_PTR port. The delay wraps around after 16 increments. The DQS delay chain implements a static non-programmable phase shift of 90. ALTDQ_DQS2 Megafunction January 2013 Altera Corporation

13 Chapter 3: Functional Description 3 3 ALTDQ_DQS2 Datapaths Figure 3 3 shows the DQS input path in Arria V and Cyclone V devices. Figure 3 3. DQS Input Path in Arria V and Cyclone V Devices Read FIFO Write Enable capture_strobe_in capture_strobe_ena[0] (2) capture_strobe_ena[1] (2) DDIO Out Data Valid FIFO (1) inc_wr_ptr DQS Enable Control DQS Delay Chain DQS Clock Tree FR Clock strobe_ena_hr_clock_in vfifo_inc_wr_ptr Notes to Figure 3 3: (1) The data valid FIFO is a hard FIFO in Arria V and Cyclone V devices. (2) These ports are 2-bit wide because the DDIO_OUT block is driven by a half-rate clock, allowing you to enable DQS during a half-rate cycle. Capture DDIO to Read FIFO Path The capture DDIO block captures input data (DQ) on the rising and falling edges of the capture clock or strobe (DQS). For Stratix V devices, the capture DDIO block feeds the hard read FIFO or bypasses the hard read FIFO and goes directly to the core. If the capture DDIO block connects directly to the core, the data is transmitted at full rate. For protocols with bidirectional DQS, only an exact number of DQS edge is available for both capturing data in the capture DDIO block and then either in the read FIFO or in the core. The data transfer from the capture DDIO block and the next stage is referred to as zero-cycle transfer. This means that the transfer must happen on the same clock edge. The hard read FIFO always changes the data rate from full-rate to half-rate, so if you choose to use full-rate, then you cannot use the Read FIFO. For Arria V and Cyclone V devices, the capture DDIO block to Read FIFO path is similar, with the following exceptions: The read FIFO must always be used and cannot be bypassed. The read FIFO supports both half-rate and full-rate. For Arria V, Cyclone V, and Stratix V devices, the hard read FIFO implements the functionality of a generic asynchronous FIFO. You can locate the hard read FIFO in a true dual-ported RAM. Data is written to the write side of the DQS clock domain and read from the read side of the core clock domain. For Arria V and Cyclone V devices, the core clock domain can run at half the frequency and implements a full-rate to half-rate transformation. You can use the write enable and read enable signals to control when to write and read data from the read FIFO. The same signal controls the increment of the write and read pointers. For protocols using a bidirectional strobe, the write enable signal is tied to VCC and DQS gating/ungating implements the write enable functionality. January 2013 Altera Corporation ALTDQ_DQS2 Megafunction

14 3 4 Chapter 3: Functional Description ALTDQ_DQS2 Datapaths 1 For Arria V and Cyclone V devices, the hard data valid FIFO internally generates the write enable (or gating/ungating) signal, while the hard latency FIFO internally generates the read enable signal. FIFO Control For Arria V and Cyclone V devices, in addition to the read FIFO and the data valid FIFO, the location of the latency shifter FIFO is in each DQS group. The latency shifter FIFO takes in a read-enable command from the core and implements a programmable latency of up to 32 cycles before feeding into the read-enable port of the read FIFO. You can use the output of the data valid FIFO to perform the following tasks: To ungate the DQS logic when a strobe signal is capturing the data. In this case, the write-enable port must always be '1' on the read FIFO. To enable the read FIFO write-enable port when a clock is in use. Figure 3 4 shows the three FIFOs interconnection. Figure 3 4. Data Valid FIFO, Latency Shifter FIFO, and Read FIFO Interconnection Data to Core DOUT DIN Data from DQ Read FIFO (1) REN WREN Latency Shifter FIFO Read Data Enable from Core Data Valid FIFO To DQS Enable Note to Figure 3 4: (1) The read FIFO block is a hard FIFO in Arria V, Cyclone V, and Stratix V devices. The latency shifter FIFO and data valid FIFO are hard FIFO in Arria V and Cyclone V devices. When a read command is sent to the memory device, a read-data-enable token is pushed through the data valid FIFO and the latency shifter FIFO. The data valid FIFO implements a latency equal to the read command to data latency. When the token comes out of the data valid FIFO, the DQS signal is ungated. The latency shifter FIFO then creates enough space between write and read pointers in the read FIFO to ensure that the data read on the read side is correct. If the read FIFO is read at half-rate, the read FIFO also implements a full-rate to half-rate conversion. ALTDQ_DQS2 Megafunction January 2013 Altera Corporation

15 Chapter 3: Functional Description 3 5 ALTDQ_DQS2 Datapaths The determination of the correct latencies to implement at each of these FIFOs is important and cannot be done during compilation. When you attempt to implement your own custom memory solution, you must also implement some form of calibration algorithm. f For more information, refer to UniPHY External Memory Interface Debug Toolkit chapter in volume 3 of the External Memory Interface Handbook. January 2013 Altera Corporation ALTDQ_DQS2 Megafunction

16 3 6 Chapter 3: Functional Description ALTDQ_DQS2 Datapaths DQ and DQS Output Path The DQ and DQS output path sends the DQ and DQS signal to the external device during write operations. Figure 3 5 shows the output path where n = the number of DQ pins and x = 0 to (n-1). Figure 3 5. DQ and DQS Output Path for Stratix V Devices (1) write_oe_in[x] write_oe_in[2x] write_oe_in[2x+1] hr_clock_in LO HI OUT Half-rate to single-rate output enable registers 0 1 Alignment clock (3) datain dataout Output phase alignment registers 0 1 Write clock (4) D DFF Q write_data_in[x] write_data_in[3n+x] write_data_in[n+x] hr_clock_in HI LO OUT Half-rate to single-rate output registers 0 1 Alignment clock (3) datain dataout Output phase alignment registers 0 1 HI LO OUT DDR output registers Write clock (4) (2) (to DQ pin) write_data_out[x] Series termination control (5) write_data_in[n+x] write_data_in[2n+x] write_data_in[x] hr_clock_in HI LO Q Half-rate to single-rate output registers 0 1 Alignment clock (3) datain dataout Output phase alignment registers 0 1 Notes to Figure 3 5: (1) Figure 3 5 is only applicable for Stratix V devices. For Arria V and Cyclone V DQ and DQS output path, refer to Figure 3 7 on page 3 8. (2) For bidirectional DQ, the output of the buffer connects to the read_write_data_io[x] port. (3) The alignment clock comes from the write-leveling delay chains. For more information, refer to Leveling Circuitry section in the External Memory Interfaces in Stratix V Devices chapter in the Stratix V Device Handbook. (4) The write clock comes from the PLL or the write-leveling delay chains. For more information, refer to Leveling Circuitry section in the External Memory Interfaces in Stratix V Devices chapter in the Stratix V Device Handbook. (5) The series termination control connects to the ALTOCT megafunction. ALTDQ_DQS2 Megafunction January 2013 Altera Corporation

17 Chapter 3: Functional Description 3 7 ALTDQ_DQS2 Datapaths Figure 3 6 shows the DQ and DQS output path for additional DQ pins usage, where y = 0 to (m-1) and m= the number of DQ pins. Figure 3 6. DQ and DQS Output Path (for Additional DQ Pins Usage) for Stratix V Devices VCC extra_write_data_in[y] extra_write_data_in[3m+y] extra_write_data_in[2m+y] hr_clock_in HI LO OUT Half-rate to single-rate output enable registers 0 1 Alignment clock (1) datain dataout Output phase alignment registers 0 1 HI OUT LO DDR output registers Write clock (2) extra_write_data_out[y] (to DQ pin) extra_write_data_in[m+y] extra_write_data_in[y] Notes to Figure 3 6: hr_clock_in HI LO datain dataout (1) The alignment clock comes from the write-leveling delay chains. (2) The write clock comes from the PLL or the write-leveling delay chains. OUT Half-rate to single-rate output registers 0 1 Alignment clock (1) Output phase alignment registers 0 1 Table 3 2 lists the blocks in the DQ/DQS output path. Table 3 2. DQ/DQS Output Path for Stratix V Devices Block name Half-rate to single-rate output enable registers Output phase alignment registers DDR output registers Description Represents a group of registers that convert half-rate data to single-rate data. Represents the circuitry required to phase shift the DQ-output signals. Use this block for write-leveling purposes in DDR3 SDRAM interfaces. Represents the DDIO registers that transfer DDR signals from the core to the DQ/DQS pins. f For more information about how the input and output paths are mapped to the device IOEs, refer to I/O Element Registers in the External Memory Interfaces chapter in the respective device handbook. The data output path for Arria V and Cyclone V families is similar to the output paths for Stratix V and earlier families, except for the output phase alignment registers. These registers are not available in Arria V and Cyclone V devices and do not support leveled interfaces. January 2013 Altera Corporation ALTDQ_DQS2 Megafunction

18 3 8 Chapter 3: Functional Description ALTDQ_DQS2 Datapaths Figure 3 7 shows the DQ and DQS output path for Arria V and Cyclone V devices. Figure 3 7. DQ and DQS Output Path for Arria V and Cyclone V Devices OCT from DQS Logic OE from Output Enable Path DATAOUT[0] DATAOUT[2] DDIO Out DDIO Out DATAOUT DATAOUT[1] DATAOUT[3] DDIO Out CLK_HR CLK_FR You must connect the ALTDQ_DQS2 megafunction to the ALTOCT, ALTDLL, and ALTERA_PLL megafunctions to utilize their features. Table 3 3 describes how to use the ALTOCT, ALTDLL, and ALTERA_PLL megafunctions with the ALTDQ_DQS2 megafunction: Table 3 3. Using the ALTDLL, ALTERA_PLL, and ALTOCT Megafunctions with the ALTDQ_DQS2 Megafunction (Part 1 of 2) Megafunction ALTDLL Description The ALTDLL megafunction instantiates a delay-locked loop (DLL) circuit in your design. The DLL circuit represents the specialized phase-shift reference circuit for calibrating the delay settings of the DQS delay chains, allowing the delay chains to compensate for PVT variations. The DLL uses a reference clock at the same frequency as the input DQS signal to compute the amount of delay required at each DQS pin on the FPGA to perform the phase-shift. Use of the DLL Offset Control is recommended for test purposes only. For DQS data capture calibration, use the D1, D2, D3, and D4 delay chains. For more information about DLL in Arria V, Cyclone V, and Stratix V devices, refer to the DQS Phase-Shift Circuitry section in the External Memory Interfaces chapter in the respective device handbook. For more information about the ALTDLL megafunction, refer to the ALTDLL and ALTDQ_DQS Megafunction. ALTDQ_DQS2 Megafunction January 2013 Altera Corporation

19 Chapter 3: Functional Description 3 9 ALTDQ_DQS2 Datapaths Table 3 3. Using the ALTDLL, ALTERA_PLL, and ALTOCT Megafunctions with the ALTDQ_DQS2 Megafunction (Part 2 of 2) Megafunction ALTERA_PLL ALTOCT Description The ALTERA_PLL megafunction instantiates a phase-locked loop (PLL) circuit in your design. The PLL generates the related clock signals that are used by the IOEs. For more information about the Arria V, Cyclone V, and Stratix V PLL, refer to the Clock Networks and PLLs chapter in the respective device handbook. For more information about the ALTERA_PLL megafunction, refer to the Altera Phase-Locked Loop (ALTERA_PLL) Megafunction. The ALTOCT megafunction instantiates an on-chip termination (OCT) calibration block in your design. The block provides dynamic OCT control for I/O impedance matching and termination. The OCT maintains signal quality, saves board space, and reduces external component costs. For more information about dynamic OCT control, refer to the Dynamic On-Chip Termination Control section in the External Memory Interfaces in Stratix V Devices chapter of the Stratix V Device Handbook. For more information about the ALTOCT megafunction, refer to the Dynamic Calibrated On-Chip Termination (ALTOCT) Megafunction. For more information about instantiating these megafunctions, refer to Instantiating Megafunctions on page 5 1. January 2013 Altera Corporation ALTDQ_DQS2 Megafunction

20 3 10 Chapter 3: Functional Description ALTDQ_DQS2 Ports ALTDQ_DQS2 Ports This section describes the ports of the ALTDQ_DQS2 megafunction. Figure 3 8 shows the data strobe, data, termination control, PLL, DLL, hard FIFO, and dynamic configuration ports of the megafunction. Figure 3 8. ALTDQ_DQS2 Block Diagram config_clock_in (6), (7) config_data_in (6), (7) config_dqs_ena (6), (7) config_dqs_io_ena (6), (7) config_update (6), (7) core_clock_in (7), (10) fr_clock_in (4), (7) hr_clock_in (4), (7) lfifo_reset_n (5), (9) lfifo_rden (5), (8) reset_n_core_clock_in (1), (7) rfifo_reset_n (5), (9) strobe_ena_hr_clock_in (1), (7) vfifo_reset_n (5), (9) write_strobe_clock_in (1), (7) dll_delayctrl_in[] (4), (7) capture_strobe_ena[] (1), (8) output_strobe_ena[] (1), (7) oct_ena_in[] (1), (7) parallelterminationcontrol_in[] (3), (7) seriesterminationcontrol_in[] (3), (7) write_oe_in[] (2), (7) write_data_in[] (2), (7) config_io_ena[] (6), (7) lfifo_rdata_en[] (5), (9) lfifo_rdata_en_full[] (5), (9) lfifo_rd_latency[] (5), (9) vfifo_qvld[] (5), (9) vfifo_inc_wr_ptr[] (5), (9) capture_strobe_in (1), (7) capture_strobe_n_in (1), (7) extra_write_data_in[] (2), (7) read_data_in[] (2), (7) config_extra_io_ena[] (6), (7) ALTDQ_DQS2 capture_strobe_out (1), (7) strobe_io (1), (7) read_data_out[] (2), (7) read_write_data_io[] (2), (7) lfifo_rdata_valid (5), (9) output_strobe_n_out (1), (7) output_strobe_out (1), (7) extra_write_data_out[] (2), (7) write_data_out[] (2), (7) strobe_n_io (1), (7) Notes to Figure 3 8: (1) This is a data strobe port. For more information about the port, refer to Table 3 4 on page (2) This is a data port. For more information about the port, refer to Table 3 5 on page (3) This is a termination control port. For more information about the port, refer to Table 3 6 on page (4) This is a PLL/DLL port. For more information about the port, refer to Table 3 7 on page (5) This is a hard FIFO port. For more information about the port, refer to Table 3 8 on page (6) This is a dynamic configuration port. For more information about the port, refer to Table 3 9 on page (7) This port is supported in Arria V, Cyclone V, and Stratix V devices. (8) This port is only supported in Stratix V devices. (9) This port is only supported in Arria V and Cyclone V devices. (10) This signal is used for QDRII. This clock, unlike other clocks, can be held at zero during initialization, which is a requirement for QDRII. Use the core clock signal for internal purposes. In a half-rate application, the core clock signal is unconnected. In a full-rate application, you must connect the core clock signal to the full-rate clock. ALTDQ_DQS2 Megafunction January 2013 Altera Corporation

21 Chapter 3: Functional Description 3 11 ALTDQ_DQS2 Ports Table 3 4 lists the ALTDQ_DQS2 megafunction data strobe ports. Table 3 4. ALTDQ_DQS2 Megafunction Data Strobe Ports Port Name Type Width Description capture_strobe_ena Input 1 capture_strobe_n_in Input 1 capture_strobe_in Input 1 capture_strobe_out Output 1 output_strobe_ena oct_ena_in Input Input 2 = half-rate 1 = full-rate 2 = half-rate 1 = full-rate reset_n_core_clock_in Input 1 write_strobe_clock_in Input 1 Controls the DQS enable control block by acting as the gating signal for signals coming from the input registers (capture_strobe_in) to reach the DQS delay chain block. Receives the negative polarity clock signal from the external device. For example, a DQSn signal from the external memory. This port is available when the capture strobe type is set to differential or complimentary. Receives the clock signal from the external device, for example, a DQS signal from the external memory. Sends the delayed clock signal to the core. For example, a delayed DQS signal from the DQS delay chain. The gating signal for the output_strobe_out port. Controls the dynamic on-chip-termination signal for all data and strobe ports. Asynchronous reset used in QDRII-like interfaces to reset the write strobe. Receives the clock signal from the core. For example, a DQS signal from the core. Clocks the DDIO that generates the output strobe signal. strobe_ena_hr_clock_in Input 1 Receives the clock signal from the clock pin or the PLL to clock the DQS enable control block. Also a half-rate signal that, after going through the DQS_ENABLE_CTRL input, controls the gating of the input strobe. strobe_io Bidirectional 1 Sends and receives the bidirectional clock signal. strobe_n_io Bidirectional 1 output_strobe_out Output 1 output_strobe_n_out Output 1 Sends and receives the negative polarity clock signal for differential or complimentary strobe configuration. Sends clock signal to the external device. For example, a DQS signal to the external memory. Sends the negative polarity clock signal to the external device (For example, DQSn signal to the external memory). This port is available when you set the output strobe type to differential or complimentary. January 2013 Altera Corporation ALTDQ_DQS2 Megafunction

22 3 12 Chapter 3: Functional Description ALTDQ_DQS2 Ports Table 3 5 lists the ALTDQ_DQS2 megafunction data ports where n= number of DQ pins, m= number of additional output-only DQ pins, x = 0 to (n-1), and y= 0 to (m-1). Table 3 5. ALTDQ_DQS2 Megafunction Data Ports (Part 1 of 2) Port Name Type Width (1) Description extra_write_data_in[] Input 2m = full-rate 4m = half-rate extra_write_data_out[] Output m read_data_in[] Input n read_data_out[] Output 2n = full-rate 4n = half-rate read_write_data_io[] Bidirectional n write_data_in[] Input 2n = full-rate 4n = half-rate write_data_out[] Output n Receives data signal from the core. This port connects to the input port of the half-rate data to single-rate data output registers block (Figure 3 6 on page 3 7). In full-rate mode, only the extra_write_data_in[y] and extra_write_data_in[m+y] ports are used. Sends data to the external device. This port connects to the output port of the output buffer (Figure 3 6 on page 3 7). Receives data from the external device. This port connects to the input port of the input buffer located between the DQ pin and the DDR input registers block. This is an input-only DQ port that receives data from the external device (Figure 3 1 on page 3 1). Sends the captured data from the external device to the core. This port connects to the output port of the DDR input register block (Figure 3 1 on page 3 1). The read_data_out[x] port outputs the positive-edge triggered data, and the read_data_out[n+x] port outputs the negative-edge triggered data. Receives and sends data between the core and the external device. You must assign the bidirectional DQ port with the output termination and input termination assignments. Receives DDR data signal from the core to be sent out to the external device. For example, data to be written to the external memory during write operation. This port connects to the input port of the half-rate data to single-rate data output registers block (Figure 3 5 on page 3 6). In full-rate mode, the megafunction uses only the write_data_in[x] and write_data_in[n+x] ports. Sends the DDR data signal to the external device. For example, data to be written to the external memory during write operation. This port connects to the output port of the output buffer located between the DDR output registers block and the DQ-out pin (Figure 3 5 on page 3 6). ALTDQ_DQS2 Megafunction January 2013 Altera Corporation

23 Chapter 3: Functional Description 3 13 ALTDQ_DQS2 Ports Table 3 5. ALTDQ_DQS2 Megafunction Data Ports (Part 2 of 2) write_oe_in[] Note to Table 3 5: Port Name Type Width (1) Description Input n = full-rate 2n = half-rate (1) The port width applies to full-rate mode, unless otherwise specified. Receives the gating signal from the core to control the output buffer. For example, gating control when writing data to the external memory during write operation. This port connects to the input port of the half-rate data to single-rate data output-enable registers block (Figure 3 5 on page 3 6). In full-rate mode, the megafunction uses only the write_oe_in[x] port. f To understand how these ports connect to the IOEs, refer to I/O Elements in the External Memory Interfaces in Stratix V Devices chapter of the Stratix V Device Handbook. Table 3 6 lists the terminal control ports. Table 3 6. ALTDQ_DQS2 Megafunction Temination Control Ports Port name Type Width Description paralleltermination control_in[] Input 16 seriesterminationcontrol_in[] Input 16 Controls the calibrated parallel termination ports of the input buffers. You must connect this port to the parallelterminationcontrol[15:0] port of the ALTOCT megafunction. Ensure that the termination block located in the ALTOCT instance is assigned with the termination control block assignment. Controls the calibrated series termination ports of the output buffers. You must connect this port to the seriesterminationcontrol[15:0] port of the ALTOCT megafunction. Ensure that the termination block located in the ALTOCT instance is assigned with the termination control block assignment. f For more information about dynamic OCT control in Stratix V devices, refer to the DC and Switching Characteristics for Stratix V Devices chapter in volume 3 of the Stratix V Device Handbook. January 2013 Altera Corporation ALTDQ_DQS2 Megafunction

24 3 14 Chapter 3: Functional Description ALTDQ_DQS2 Ports Table 3 7. PLL and DLL Ports Table 3 7 lists the PLL and DLL ports. Port name Type Width Description dll_delayctrl_in[] Input 7 fr_clock_in Input 1 hr_clock_in Input 1 Receives the 7-bit delay settings from the dll_delayctrlout port of the ALTDLL megafunction instance. This 7-bit signal controls delay through the DQS delay chains. Compilation error occurs if this port is not connected to a DLL. Receives the full-rate clock signal from a clock pin, or the PLL clock output port. Receives the half-rate clock signal from a clock pin, or the PLL clock output port. f f For more information about DLL in Stratix V device, refer to Delay-Locked Loop in the External Memory Interfaces in Stratix V Devices chapter of the Stratix V Device Handbook. For more information about PLL in Stratix V devices, refer to PLL Specifications in DC and Switching Characteristics for Stratix V Devices chapter of the Stratix V Device Handbook. Table 3 8. Hard FIFO Ports Table 3 8 lists the signals for Arria V and Cyclone V devices. Ports Type Width Description lfifo_rdata_en Input 2 The read enable signal indicates that read data is expected at the latency provided by the latency shifter FIFO. The delayed signal gets passed to the read FIFO read-enable port. lfifo_rdata_en_full Input 2 Data input to the latency shifter FIFO. This signal is the full read enable token generated by user logic and is asserted for the length of the desired read burst. This token is delayed by a variable number of integer cycles inside the latency shifter FIFO and used to feed the read enable signal of the read FIFO. lfifo_reset_n Input 1 Active low reset to the latency shifter FIFO lfifo_rd_latency[] Input 5 vfifo_qvld Input 2 The number of cycles to delay data inputs feeding the latency shifter FIFO. A maximum of 31 cycles is supported. Data input to the data valid FIFO. This signal is the full read enable token generated by user logic and is asserted for the length of the desired read burst. This signal is driven by the same user logic that drives the lfifo_rdata_en_full signal. vfifo_inc_wr_ptr Input 2 Increments the latency implemented by the data valid FIFO by one cycle. vfifo_reset_n Input 1 Active low reset to the data valid FIFO rfifo_reset_n Input 1 Active low reset to the read FIFO lfifo_rdata_valid Output 1 Signals that the data on read_data_out signal is valid ALTDQ_DQS2 Megafunction January 2013 Altera Corporation

25 Chapter 3: Functional Description 3 15 ALTDQ_DQS2 Ports The I/O and DQS configuration blocks represent a set of serial-to-parallel shift registers that dynamically changes the settings of various device configuration bits. The I/O and DQS configuration blocks shift a serial configuration data stream into the shift registers, and then load the data stream into the configuration registers. The shift registers power-up low. Every I/O pin contains an I/O configuration block. Every DQS group contains a DQS configuration block and an I/O configuration block. Table 3 9 lists the dynamic configuration ports where n= number of DQ pins and m= number of additional DQ pins. Table 3 9. ALTDQ_DQS2 Megafunction Dynamic Configuration Ports Port name Type Width Description config_clock_in (1) Input 1 config_data (1) Input 1 config_io_ena[] (2) Input n config_dqs_io_ena (2) Input 1 config_dqs_ena (2) Input 1 config_update (1) Input 1 config_data_in Input 1 config_extra_io_ena[] Input m Receives the clock signal to clock all dynamic configuration blocks. You can connect this port to a clock pin, or the PLL clock output port. This is the clock signal. All other input signals must be treated as synchronous to this clock. The 1-bit serial input through which data is scanned into the calibration blocks. It is common to all configuration blocks, but it will only be scanned into calibrations blocks whose enable input is asserted. An input port that controls the enable input on the DQ I/O configurations. Receives the clock enable signal for the I/O configuration block. An input port that controls the enable input on the DQS I/O configurations. Receives the clock enable signal for the DQS I/O configuration block. An input port that controls the enable input on the DQS logic. Receives the clock enable signal for the DQS configuration block. Receives the signal to load the bits from the serial-to-parallel shift registers to the configuration registers. After scanning all the bits into the desired scan chain blocks, the bits can be copied at once into the configuration register by asserting the config_update signal for one clock cycle. Receives the serial configuration data stream that shifts into the serial-to-parallel shift registers. Receives the clock enable signal for the additional I/O configuration block. Notes to Table 3 9: (1) The ALTDQ_DQS2 dynamic configuration interface consists of these input ports. (2) For more information, refer to Dynamic Reconfiguration for ALTDQ_DQS2 Megafunction on page 4 1. f For more information about the dynamic configuration blocks in Stratix V device, refer to I/O Configuration Block and DQS Configuration Block in the External Memory Interfaces in Stratix V Devices chapter of the Stratix V Device Handbook. January 2013 Altera Corporation ALTDQ_DQS2 Megafunction

26 3 16 Chapter 3: Functional Description ALTDQ_DQS2 Ports ALTDQ_DQS2 Megafunction January 2013 Altera Corporation

27 4. Dynamic Reconfiguration for ALTDQ_DQS2 Megafunction UG Dynamic Reconfiguration for ALTDQ_DQS2 Megafunction When static timing closure is challenging (for example, high frequency, high board trace skew, and high timing uncertainty), dynamically reconfiguring the ALTDQ_DQS2 megafunction may provide additional timing margin. Arria V, Cyclone V, and Stratix V devices contain reconfigurable logic, allowing you to adjust the delay of several datapaths at runtime. The I/O configuration block and the DQS configuration block are shift registers that you can use to dynamically change the settings of various device configuration bits. The shift registers are configured with the rest of the device when the Programmer Object File (.pof). In dynamic mode, you can override the static values at runtime with a scan chain. You can reconfigure the I/Os by turning on the Use dynamic configuration scan chains option. Figure 4 1 shows the Use dynamic configuration scan chains option. Figure 4 1. Use Dynamic Configuration Scan Chains Option 2013 Altera Corporation. All rights reserved. ALTERA, ARRIA, CYCLONE, HARDCOPY, MAX, MEGACORE, NIOS, QUARTUS and STRATIX words and logos are trademarks of Altera Corporation and registered in the U.S. Patent and Trademark Office and in other countries. All other words and logos identified as trademarks or service marks are the property of their respective holders as described at Altera warrants performance of its semiconductor products to current specifications in accordance with Altera's standard warranty, but reserves the right to make changes to any products and services at any time without notice. Altera assumes no responsibility or liability arising out of the application or use of any information, product, or service described herein except as expressly agreed to in writing by Altera. Altera customers are advised to obtain the latest version of device specifications before relying on any published information and before placing orders for products or services. ISO 9001:2008 Registered ALTDQ_DQS2 Megafunction January 2013 Subscribe

28 4 2 Chapter 4: Dynamic Reconfiguration for ALTDQ_DQS2 Megafunction Dynamic Reconfiguration for ALTDQ_DQS2 Megafunction Figure 4 2. Reconfiguration Scan Chain Figure 4 2 shows the dynamic reconfiguration scan chain implementation. config_data DQ0 din update enable clk DQ1 din update enable clk DQS din update enable clk config_clock_in config_update config_io_ena[0] config_io_ena[1] config_dqs_ena Each I/O contains a scan chain block. The DQS logic also contains its own scan chain block. You can use I/O scan chain blocks to configure DQ and DQS I/O configuration registers (for example, delay chain) and you can use the DQS logic scan chain to configure DQS logic configuration (for example, DQS postamble phase). You can serially scan configuration bits into each scan chain block with the following operating sequence: The ALTDQ_DQS2 dynamic configuration interface is made of four input ports: config_clock_in This is the clock signal. All other input signals must be treated as synchronous to this clock. The typical frequency is 50 MHz. config_data This is the 1-bit serial input through which data is scanned into the calibration blocks. This is common to all configuration blocks, but it will only be scanned into calibrations blocks whose enable input is asserted. config_enable In a generic ALTDQ_DQS2 IP, the following three config_enable inputs are available: config_io_ena[] Controls the enable input on the DQ I/Os config_dqs_io_ena[] Controls the enable input on the DQS I/Os config_dqs_ena[] Controls the enable input on the DQS logic 1 Each of these inputs is wide to control all the scan chain blocks instantiated in the ALTDQ_DQS2 megafunction. In a general application, you must assert only one enable input at a time to scan the desired data in the corresponding scan chain block. The enable input must be held high for the entire duration of the scanning process. All other inputs must be held at 0. config_update After scanning all the bits into the desired scan chain blocks, copy them into the configuration register by asserting the config_update signal for one clock cycle. ALTDQ_DQS2 Megafunction January 2013 Altera Corporation

29 January 2013 Altera Corporation ALTDQ_DQS2 Megafunction I/O Configuration Block Bit Sequence for Stratix V Devices Table 4 1 lists the I/O configuration block bit sequence, description, and settings for Stratix V devices. Table 4 1. I/O Configuration Block Bit Sequence for Stratix V Devices (Part 1 of 2) Bit Bit Name Description 5..0 padtoinputregisterdelaysetting padtoinputregisterrisefalldelaysetting outputdelaysetting1 Connects to the delayctrlin port of the D1 delay chain to control the first I/O buffer-to-input register delay chain (D1). Sets to tune the DQ delay (read calibration) for DDR applications. For delay values, refer to the Programmable IOE Delay section in the Stratix V Device Datasheet. Connects to the delayctrlin port of the second D1 delay chain to control the second pad-to-input register delay chain (D1). For delay values, refer to the Programmable IOE Delay section in the Stratix V Device Datasheet. Connects to the delayctrlin port of the D5 delay chain to control the output register-to-i/o buffer delay chain (D5) in the output path and output enable paths. This delay is for write calibration for DDR application. For delay values, refer to the Programmable IOE Delay section in the Stratix V Device Datasheet. Legend in Figure 4 3 Default (Binary) A B C Min. intrinsic delay intrinsic delay intrinsic delay Max ps + intrinsic delay ps + intrinsic delay ps + intrinsic delay Inc ps 12.5 ps 12.5 ps Chapter 4: Dynamic Reconfiguration for ALTDQ_DQS2 Megafunction 4 3 Dynamic Reconfiguration for ALTDQ_DQS2 Megafunction

30 ALTDQ_DQS2 Megafunction January 2013 Altera Corporation Table 4 1. I/O Configuration Block Bit Sequence for Stratix V Devices (Part 2 of 2) outputdelaysetting Bit Bit Name Description inputclkndelaysetting inputclkdelaysetting dutycycledelaymode dutycycledelaysetting Connects to the delayctrlin port of the D6 delay chain to control the output register-to-i/o buffer delay chain (D6) in the output path and output enable paths. This delay is for write calibration for DDR application. For delay values, refer to the Programmable IOE Delay section in the Stratix V Device Datasheet. Unconfigurable bits. Always set bits to its default value. Legend in Figure 4 3 Default (Binary) D Min. intrinsic delay Max ps + intrinsic delay Inc ps Chapter 4: Dynamic Reconfiguration for ALTDQ_DQS2 Megafunction Dynamic Reconfiguration for ALTDQ_DQS2 Megafunction

31 January 2013 Altera Corporation ALTDQ_DQS2 Megafunction DQS Configuration Block Bit Sequence for Stratix V Devices Table 4 1 lists the DQS configuration block bit sequence, description, and settings for Stratix V devices. Table 4 2. DQS Configuration Block Bit Sequence for Stratix V Devices (Part 1 of 8) Bit Bit Name Description 5..0 dqsbusoutdelaysetting dqsbusoutdelaysetting2 Connects to the delayctrlin port of the first D4 delay chain. Controls the first D4 delay chain in DQS delay chain path (after the DQS delay chain). This is the delay tuning of the DQS signal feeding into the DQS bus. For delay values, refer to the Programmable IOE Delay section in the Stratix V Device Datasheet. Connects to the delayctrlin port of the second D4 delay chain. Controls the second D4 delay chain in DQS delay chain path (after the first D4 delay chain). This is the delay tuning of the DQS signal feeding into the DQS Bus. For delay values, refer to the Programmable IOE Delay section in the Stratix V Device Datasheet. Legend in Figure 4 3 Default (Binary) E F Min intrinsic delay intrinsic delay Max ps + intrinsic delay ps + intrinsic delay Inc. Unit 12.5 ps 12.5 ps Chapter 4: Dynamic Reconfiguration for ALTDQ_DQS2 Megafunction 4 5 Dynamic Reconfiguration for ALTDQ_DQS2 Megafunction

32 ALTDQ_DQS2 Megafunction January 2013 Altera Corporation Table 4 2. DQS Configuration Block Bit Sequence for Stratix V Devices (Part 2 of 8) octdelaysetting octdelaysetting Bit Bit Name Description addrphasesetting addrpowerdown addrphaseinvert dqsoutputphasesetting 30 dqsoutputpowerdown Connects to the delayctrlin port of the D5 OCT delay chain. Controls the dynamic OCT output register-to-i/o buffer delay chain (D5). For delay values, refer to the Programmable IOE Delay section in the Stratix V Device Datasheet. Connects to the delayctrlin port of the D6 OCT delay chain. Controls the dynamic OCT output register-to-i/o buffer delay chain (D6). For delay values, refer to the Programmable IOE Delay section in the Stratix V Device Datasheet. Unconfigurable bits. Always set bits to its default value. Connects to the phasectrlin port of the clock phase select (in the DQS output path) to select between phase shifts of 0, 45, 90, and 135. Use this bit to level the DQS write output. Unconfigurable bits. Legend in Figure 4 3 Default (Binary) G H intrinsic delay intrinsic delay ps + intrinsic delay ps + intrinsic delay 12.5 ps 12.5 ps 0100 I 00 Min 00 = 0 01 = = = 135 Max Always set bits to its default value. 1 Inc. Unit 4 6 Chapter 4: Dynamic Reconfiguration for ALTDQ_DQS2 Megafunction Dynamic Reconfiguration for ALTDQ_DQS2 Megafunction

33 January 2013 Altera Corporation ALTDQ_DQS2 Megafunction Table 4 2. DQS Configuration Block Bit Sequence for Stratix V Devices (Part 3 of 8) 31 dqsoutputphaseinvert dqoutputphasesetting dqoutputpowerdown 36 dqoutputphaseinvert Bit Bit Name Description resyncinputphasesetting resyncinputpowerdown resyncinputphaseinvert Connects to the phaseinvertctrl port of the clock phase select (in the DQS output path) to select between the non-inverted and inverted output. This setting allows the phase output from the delay chain to be inverted to gain additional phases. Connects to the phasectrlin port of the clock phase select (in the DQ output path) to select between phase shifts of 0, 45, 90, and 135. DQ leveling clock select. Use this bit to level the DQ write output. Unconfigurable bits. Always set bits to its default value. Connects to the phaseinvertctrl port of the clock phase select (in the DQ output path) to select between the non-inverted and inverted output. This setting allows the phase output from the delay chain to be inverted to gain additional phases. Unconfigurable bits. Legend in Figure 4 3 Default (Binary) J 0 K 00 0 = bypass 1 = enable 00 = 0 01 = = = L 0 Min 0 = bypass 1 = enable Max Always set bits to its default value Inc. Unit Chapter 4: Dynamic Reconfiguration for ALTDQ_DQS2 Megafunction 4 7 Dynamic Reconfiguration for ALTDQ_DQS2 Megafunction

34 ALTDQ_DQS2 Megafunction January 2013 Altera Corporation Table 4 2. DQS Configuration Block Bit Sequence for Stratix V Devices (Part 4 of 8) Bit Bit Name Description postamblephasesetting postamblepowerdown 45 postamblephaseinvert Connects to the phasectrlin port of the clock phase select (for the DQS enable control block) to select between phase shifts of 0, 45, 90, and 135. Use this clock phase select block to level the postamble (dqsenablein signal at the DQS enable control block). Unconfigurable bits. Always set bits to its default value. Connects to the phaseinvertctrl port of the clock phase select (for the DQS enable control block) to select between the non-inverted and inverted output. Use this clock phase select block to level the postamble (dqsenablein signal at the DQS enable control block). This setting allows the phase output from the delay chain to be inverted to gain additional phases. Legend in Figure 4 3 Default (Binary) M = 0 01 = = = N 0 Min 0 = bypass 1 = enable Max Inc. Unit 4 8 Chapter 4: Dynamic Reconfiguration for ALTDQ_DQS2 Megafunction Dynamic Reconfiguration for ALTDQ_DQS2 Megafunction

35 January 2013 Altera Corporation ALTDQ_DQS2 Megafunction Table 4 2. DQS Configuration Block Bit Sequence for Stratix V Devices (Part 5 of 8) Bit Bit Name Description dqs2xoutputphasesetting dqs2xoutputpowerdown dqs2xoutputphaseinvert dq2xoutputphasesetting dq2xoutputpowerdown dq2xoutputphaseinvert ck2xoutputphasesetting] ck2xoutputpowerdown ck2xoutputphaseinvert dqoutputzerophasesetting postamblezerophasesetting postamblepowerdown dividerioehratephaseinvert dividerphaseinvert enaoctcycledelaysetting Unconfigurable bits. Always set bits to its default value. Connects to the enaoutputcycledelay port of the output alignment block (in the dynamic OCT control path) to allow additional registers to be used. Use this bit to adjust the phase of the write-leveled OCT or output data signal. Legend in Figure 4 3 Default (Binary) 0010_0000_1000_1000_010 0 O 010 Min Max 000: Not supported 001: Not supported 010: No delay 011: 1 cycle delay 100: 2 cycle delay 101: 3 cycle delay 110: Not supported 111: Not supported Inc. Unit Chapter 4: Dynamic Reconfiguration for ALTDQ_DQS2 Megafunction 4 9 Dynamic Reconfiguration for ALTDQ_DQS2 Megafunction

36 ALTDQ_DQS2 Megafunction January 2013 Altera Corporation Table 4 2. DQS Configuration Block Bit Sequence for Stratix V Devices (Part 6 of 8) Bit Bit Name Description 69 enaoctphasetransferreg dqsdisablendelaysetting dqsenabledelaysetting Connects to the enaphasetransferreg port of the output alignment block (in the dynamic OCT control path) to allow an additional negative edge-triggered register to be added to the OCT, output data, or output enable path to satisfy the setup or hold time requirement for the phase transfer. Connects to the delayctrlin port of the T11 delay chain (located between the dqsenableout port of the DQS enable control block and the dqsdisablen port of the DQS delay chain). This is to align post-amble signal in terms of DQS signal by selecting different delays. Connects to the delayctrlin port of the T11 delay chain (located between the dqsenableout port of the DQS enable control block and the dqsenable port of the DQS delay chain). This is to align post-amble signal in terms of DQS signal by selecting different delays. Legend in Figure 4 3 Default (Binary) P 0 Q R Min 0 = bypass 1 = enable intrinsic delay intrinsic delay Max 3.2 ns + intrinsic delay 3.2 ns + intrinsic delay Inc. Unit 12.5 ps 12.5 ps 4 10 Chapter 4: Dynamic Reconfiguration for ALTDQ_DQS2 Megafunction Dynamic Reconfiguration for ALTDQ_DQS2 Megafunction

37 January 2013 Altera Corporation ALTDQ_DQS2 Megafunction Table 4 2. DQS Configuration Block Bit Sequence for Stratix V Devices (Part 7 of 8) Bit Bit Name Description 86 enadqsenablephasetransferreg dqsinputphasesetting 89 enadqsphasetransferreg 90 enaoutputphasetransferreg Connects to the enaphasetransferreg port of the DQS enable control block to allow an additional negative edge-triggered register to be added to the DQS enable control path to satisfy the setup or hold time requirement for the phase transfer. Connects to the phasectrlin port of the DQS delay chain block. To control the phase selection for the DQS delay chain. The frequency range that this works at is 300 MHz to 800 MHz. Connects to the enaphasetransferreg port of the output alignment block (in the DQS output path and OE path) to allow an additional negative edge-triggered register to be used. Connects to the enaphasetransferreg port of the output alignment block (in the DQ output path and OE path) to allow an additional negative edge-triggered register to be added to the output data or output enable path to satisfy the setup or hold time requirement for the phase transfer. Legend in Figure 4 3 Default (Binary) S 0 T 00 U 0 V 0 Min 0 = bypass 1 = enable 00 = 0 01 = = = = bypass 1 = enable 0 = bypass 1 = enable Max Inc. Unit Chapter 4: Dynamic Reconfiguration for ALTDQ_DQS2 Megafunction 4 11 Dynamic Reconfiguration for ALTDQ_DQS2 Megafunction

38 ALTDQ_DQS2 Megafunction January 2013 Altera Corporation Table 4 2. DQS Configuration Block Bit Sequence for Stratix V Devices (Part 8 of 8) Bit Bit Name Description enadqscycledelaysetting enaoutputcycledelaysetting enainputcycledelaysetting enainputphasetransferreg Connects to the enaoutputcycledelay port of the output alignment block (in the DQS output path and OE path) to allow additional registers to be enabled in the output alignment block of the output data or output enable path of a DQS I/O. This is normally used to adjust the phase of the write-leveled OCT or output data signal. Connects to the enaoutputcycledelay port of the output alignment block (in the DQ output path and OE path) to allow additional registers to be enabled in the output alignment block of the output data or output enable path of a DQ I/O. Use this bit to adjust the phase of the write-leveled OCT or output data signal. Unconfigurable bits. Always set bits to its default value. Legend in Figure 4 3 Default (Binary) W 010 X 010 Min Max 000: Not supported 001: Not supported 010: No delay 011: 1 cycle delay 100: 2 cycle delay 101: 3 cycle delay 110: Not supported 111: Not supported 000: Not supported 001: Not supported 010: No delay 011: 1 cycle delay 100: 2 cycle delay 101: 3 cycle delay 110: Not supported 111: Not supported Inc. Unit Chapter 4: Dynamic Reconfiguration for ALTDQ_DQS2 Megafunction Dynamic Reconfiguration for ALTDQ_DQS2 Megafunction

39 Chapter 4: Dynamic Reconfiguration for ALTDQ_DQS2 Megafunction 4 13 Dynamic Reconfiguration for ALTDQ_DQS2 Megafunction Figure 4 3. I/O and DQS Delay Chains for Stratix V Devices (1), (2) X V Negative Edge Write Data Positive Edge Write Data Clock Phase Select (0 Degree) Output Alignment Output Alignment 0 1 D5 Delay Chain D6 Delay Chain D6 OE Delay Chain DQ D6 OCT Delay Chain Output Enable Signal X V D5 OE Delay Chain D5 OCT Delay Chain K L Output Alignment Clock Phase Select O P Positive Edge Read Data D3 Delay Chain D2 Delay Chain D1 Delay Chain B D1 Delay Chain A DQ Clock Signal from Core Leveling Delay Chain OCT Control Signal Clock Phase Select Output Alignment Negative Edge Read Data D4 Delay Chain F D4 Delay Chain E T DQS Delay Chain To dqsenable Port To dqsdisablen Port R DQS T11 Delay Chain T11 Delay Chain Q From dqsenableout Port DQS Enable Control S To levelingclk Port To zerophaseclk Port M N Clock Phase Select Clock Phase Select (0 Degree) I J Output Enable Signal Negative Edge Write Data Clock Phase Select (0 Degree) Positive Edge Write Data Output Alignment W Output Alignment W U Output Alignment U 0 1 C D5 Delay Chain D D6 Delay Chain D5 OE Delay Chain D6 OE Delay Chain DQS D5 OCT Delay Chain D6 OCT Delay Chain G H Notes to Figure 4 3: (1) Use the combination of D1, D2, D3, and D4 delay chains for calibration. Use D1, D2, and D3 to delay DQ, and D4 delay chain to delay DQS. D5 and D6 delay chains are the output delay chains. (2) The D2 and D3 delay chains are static input delay chains. You can only set the settings in the Quartus II Settings File (.qsf) or the Fitter sets the settings automatically based on the timing constraints. You cannot dynamically set the settings. January 2013 Altera Corporation ALTDQ_DQS2 Megafunction

40 ALTDQ_DQS2 Megafunction January 2013 Altera Corporation I/O Configuration Block Bit Sequence for Arria V and Cyclone V Devices Table 4 3 lists the I/O configuration block bit sequence, description, and settings for Arria V and Cyclone V devices. Table 4 3. I/O Configuration Block Bit Sequence for Arria V and Cyclone V Devices (Part 1 of 3) Bit External Bit Name Description 4..0 padtoinputregisterdelaysetting 9..5 outputenabledelaysetting Connects to the delayctrlin port of the D1 delay chain. Controls the I/O buffer-to-input register delay chain (D1). Tunes the DQ delay (read calibration) for DDR applications. For delay values, refer to the Programmable IOE Delay section in the Arria V Device Datasheet. For delay values, refer to the Programmable IOE Delay section in the Cyclone V Device Datasheet. Connects to the delayctrlin port of the D5 delay chain. Controls the output register-to-i/o buffer delay chain (D5) in the output enable paths. This delay is used for write calibration for DDR application. For delay values, refer to the Programmable IOE Delay section in the Arria V Device Datasheet. For delay values, refer to the Programmable IOE Delay section in the Cyclone V Device Datasheet. Legend in Figure 4 4 Default (Binary) A B Min. intrinsic delay intrinsic delay Max. 775 ps + intrinsic delay 775 ps + intrinsic delay Inc. 25 ps 25 ps 4 14 Chapter 4: Dynamic Reconfiguration for ALTDQ_DQS2 Megafunction Dynamic Reconfiguration for ALTDQ_DQS2 Megafunction

41 January 2013 Altera Corporation ALTDQ_DQS2 Megafunction Table 4 3. I/O Configuration Block Bit Sequence for Arria V and Cyclone V Devices (Part 2 of 3) Bit External Bit Name Description outputregdelaysetting readfifomode readfiforeadclockselect Connects to the delayctrlin port of the D5 delay chain. Controls the output register-to-io Buffer delay chain (D5) in the output path paths. This delay is used for write calibration for DDR application. For delay values, refer to the Programmable IOE Delay section in the Arria V Device Datasheet. For delay values, refer to the Programmable IOE Delay section in the Cyclone V Device Datasheet. Connects to the dynfifomode port of input register read FIFO block. The read FIFO can be configured as a read FIFO or a Unified SerDes Block. Connects to the clksel port of the read FIFO clock select block. This controls the read FIFO Read clock source Select. Legend in Figure 4 4 Default (Binary) C D 000 E 00 Min. intrinsic delay Max. 775 ps + intrinsic delay Inc. 25 ps 000: Half-rate Read FIFO Mode 001: Full-rate Read FIFO Mode 010: Deserializer Bit Slip Mode 011: Deserializer with Input from Bit Slip 100: Deserializer with Input from I/O 101: Serializer mode 110: Not supported 111: Not supported 00: Select Core CLKIN1 01: Select DQS_CLK (PHY_CLK) 10: Select SEQ_HR_CLK (PHY_CLK) 11: Select VCC (Disabled) Chapter 4: Dynamic Reconfiguration for ALTDQ_DQS2 Megafunction 4 15 Dynamic Reconfiguration for ALTDQ_DQS2 Megafunction

42 ALTDQ_DQS2 Megafunction January 2013 Altera Corporation Table 4 3. I/O Configuration Block Bit Sequence for Arria V and Cyclone V Devices (Part 3 of 3) Bit External Bit Name Description 20 outputhalfratebypass Not mapped to any port Sets the multiplexer in the output enable and output data path logic to dynamically bypass the half-rate to full-rate DDIO. Used only with the hard PHY. Unconfigurable bits. Always set bits to its default value. Legend in Figure 4 4 DQS Configuration Block Bit Sequence for Arria V and Cyclone V Devices Default (Binary) F 0 Min. Max. 0: Engage Half-Rate Register 1: Bypass Half-Rate Register 0000 Table 4 4 lists the DQS configuration block bit sequence, description, and settings for Arria V and Cyclone V devices. Table 4 4. DQS Configuration Block Bit Sequence for Arria V and Cyclone V Devices (Part 1 of 3) Bit External Bit Name Description 4..0 dqsenableungatingdelaysetting 9..5 dqsenablegatingdelaysetting Connects to the delayctrlin port of postamble T11 delay chain (ungated). Aligns the postamble signal in terms of DQS signal by selecting different delays. Connects to the delayctrlin port of the postamble T11 delay chain (gated). Aligns the postamble signal in terms of DQS signal by selecting different delays. Legend in Figure 4 4 Default (Binary) G H Min. intrinsic delay intrinsic delay Max. 775 ps + intrinsic delay 775 ps + intrinsic delay Inc. Inc. 25 ps 25 ps 4 16 Chapter 4: Dynamic Reconfiguration for ALTDQ_DQS2 Megafunction Dynamic Reconfiguration for ALTDQ_DQS2 Megafunction

43 January 2013 Altera Corporation ALTDQ_DQS2 Megafunction Table 4 4. DQS Configuration Block Bit Sequence for Arria V and Cyclone V Devices (Part 2 of 3) Bit External Bit Name Description 10 enadqsenablephasetransferreg octdelaysetting 16 dqshalfratebypass dqsbusoutdelaysetting Connects to the enaphasetransferreg port of the DQS Enable Control block to allow an additional negative edgetriggered register to be added to the DQS enable control path to satisfy the setup or hold time requirement for the phase transfer. Connects to the delayctrlin port of the D5 delay chain. Controls the dynamic OCT output register-to-i/o buffer delay chain (D5). For delay values, refer to the Programmable IOE Delay section in the Arria V Device Datasheet. For delay values, refer to the Programmable IOE Delay section in the Cyclone V Device Datasheet. Sets the multiplexers in the DQS enable logic, OCT logic, and FIFO control logic to dynamically switch from half-rate to full-rate configuration. Connects to the delayctrlin port of the read DQS D4 delay chain. Controls the delay tuning of the DQS signal feeding into the DQS bus. Legend in Figure 4 4 Default (Binary) I 0 J K 0 L Min. 0: Disable Neg-Edge Register 1: Enable Neg-Edge Register intrinsic delay 775 ps + intrinsic delay 25 ps 0: Engage Half-Rate Register 1: Bypass Half-Rate Register intrinsic delay Max. 775 ps + intrinsic delay Inc. 25 ps Chapter 4: Dynamic Reconfiguration for ALTDQ_DQS2 Megafunction 4 17 Dynamic Reconfiguration for ALTDQ_DQS2 Megafunction

44 ALTDQ_DQS2 Megafunction January 2013 Altera Corporation Table 4 4. DQS Configuration Block Bit Sequence for Arria V and Cyclone V Devices (Part 3 of 3) Bit External Bit Name Description 22 postamblephaseinvert postamblephasesetting Not mapped to any port Connects to the phaseinvertctrl port of the clock phase select block to select between the non-inverted and inverted output. This clock phase select block is used to level the postamble clock (in leveling multiplexer). This setting allows the phase output from the delay chain to be inverted to gain additional phases. Connects to the phasectrlin port of the clock phase select block to select between phase shifts of 0, 45, 90, and 135. This particular clock phase select block is used to level the postamble clock (in leveling multiplexer). Unconfigurable bits. Always set bits to its default value. Legend in Figure 4 4 Default (Binary) M 0 N 00 Min. 0 = Non-invert 1 = Invert 00 = 0 01 = = = 135 Max. Inc Chapter 4: Dynamic Reconfiguration for ALTDQ_DQS2 Megafunction Dynamic Reconfiguration for ALTDQ_DQS2 Megafunction

45 Chapter 4: Dynamic Reconfiguration for ALTDQ_DQS2 Megafunction 4 19 Dynamic Reconfiguration for ALTDQ_DQS2 Megafunction Figure 4 4. I/O and DQS Delay Chains for Arria V and Cyclone V Devices DQS Logic Block From Core D5_OCT Dynamic OCT Control (2) J OE Register OE from Core 2 Half Data Rate Block PRN D Q OE Register PRN D Q B V CCIO Write Data from Core clkout 4 Half Data Rate Block Output Register PRN D Q Output Register PRN D Q D3_0 Delay F Programmable Current Strength and Slew Rate Control C D5 Delay D5 Delay Open Drain Output Buffer Input Buffer Programmable Pull-Up Resistor From OCT Calibration Block On-Chip Termination DQ To Core Bus Hold Circuit To Core D3_1 Delay D Mode D1 Delay A Input Register PRN D Q Clock Select E Read FIFO Clock Select Read Data to Core 4 Latency Shifter FIFO I rdclk Read FIFO Input Register PRN D Q Input Register PRN D Q Clock Signal from Core Leveling Delay Chain Clock Phase Select Data Valid FIFO DQS Enable Control DQS Delay Chain D4 Delay Chain N M K L DQS T11 Gating Delay Chain H G T11 Ungating Delay Chain January 2013 Altera Corporation ALTDQ_DQS2 Megafunction

46 4 20 Chapter 4: Dynamic Reconfiguration for ALTDQ_DQS2 Megafunction Dynamic Reconfiguration for ALTDQ_DQS2 Megafunction Figure 4 5. An Example Usage of Dynamic Reconfiguration config_io_ena[7:0] config_dqs_io_ena[0:0] config_dqs_ena[0:0] config_data config_update Notes to Figure 4 5: (1) (2) (3) (4) (1) When the scanning process begins, assert each config_io_ena bit for 40 cycles to enable the 40 bits config_data (based on the I/O configuration block bits) for each DQ I/O. Each DQS group consists of a configuration block per one DQ pin. The config_ena_io is eight bit because the DQ pin width is set to 8. (2) Assert config_dqs_io_ena for 40 cycles to shift the 40 bits config_data (based on I/O configuration block bits) for the DQS pin. (3) Assert config_dqs_ena for 101 cycles to shift the 101 bits config_data (based on DQS configuration block bits) for the DQS logic. (4) Assert config_update for one cycle. The scan chain process is complete. ALTDQ_DQS2 Megafunction January 2013 Altera Corporation

47 5. Instantiating Megafunctions UG This section describes how to instantiate the ALTDQ_DQS2, ALTERA_PLL, ALTDLL, and ALT_OCT megafunctions. 1 You can apply the settings for Arria V devices for Cyclone V devices as well. Procedure This section describes the following steps: Instantiating the ALTDQ_DQS2 Megafunction Instantiating the ALTERA_PLL Megafunction on page 5 4 Instantiating the ALTDLL Megafunction on page 5 11 Instantiating the ALT_OCT Megafunction on page 5 15 Instantiating the ALTDQ_DQS2 Megafunction To instantiate the ALTDQ_DQS2 megafunction, perform the following steps: 1. In the Quartus II software, open either of the following files and restore the archived file into your working directory. For Arria V devices: 12.1_AV_BasicDesign.qar For Stratix V devices: 12.1_SV_BasicDesign.qar 2. On the Tools menu, click MegaWizard Plug-In Manager. 3. Select Create a new custom megafunction variation, and then click Next. 4. Under the Installed Plug-In category, expand the I/O folder and then select ALTDQ_DQS2 v12.1, and Verilog HDL, and type your desired file name in the What name do you want for the output file field. 5. Click Next Altera Corporation. All rights reserved. ALTERA, ARRIA, CYCLONE, HARDCOPY, MAX, MEGACORE, NIOS, QUARTUS and STRATIX words and logos are trademarks of Altera Corporation and registered in the U.S. Patent and Trademark Office and in other countries. All other words and logos identified as trademarks or service marks are the property of their respective holders as described at Altera warrants performance of its semiconductor products to current specifications in accordance with Altera's standard warranty, but reserves the right to make changes to any products and services at any time without notice. Altera assumes no responsibility or liability arising out of the application or use of any information, product, or service described herein except as expressly agreed to in writing by Altera. Altera customers are advised to obtain the latest version of device specifications before relying on any published information and before placing orders for products or services. ISO 9001:2008 Registered ALTDQ_DQS2 Megafunction January 2013 Subscribe

48 5 2 Chapter 5: Instantiating Megafunctions 6. On the Parameter Settings tab, on the General page, specify the parameters as shown in Figure 5 1 for Stratix V devices and Figure 5 2 for Arria V devices. These parameters configure the general settings for the ALTDQD_DQS2 instance. Figure 5 1. ALTDQ_DQS2 Parameter Settings for Stratix V Devices ALTDQ_DQS2 Megafunction January 2013 Altera Corporation

49 Chapter 5: Instantiating Megafunctions 5 3 Figure 5 2. ALTDQ_DQS2 Parameter Settings for Arria V Devices 7. Click Finish. January 2013 Altera Corporation ALTDQ_DQS2 Megafunction

50 5 4 Chapter 5: Instantiating Megafunctions Instantiating the ALTERA_PLL Megafunction To instantiate the ALTERA_PLL megafunction, perform the following steps: 1. On the Tools menu, click MegaWizard Plug-In Manager. 2. Select Create a new custom megafunction variation, and then click Next. 3. Under the Installed Plug-In category, expand the I/O folder and then select ALTERA_PLL v12.1, and Verilog HDL, and type your desired file name in the What name do you want for the output file field. 4. Click Next. 5. On the General tab, specify the parameters as shown in Figure 5 3 and Figure 5 4. Figure 5 3. ALTERA_PLL Megafunction General Tab Settings for Arria V and Stratix V Devices ALTDQ_DQS2 Megafunction January 2013 Altera Corporation

51 Chapter 5: Instantiating Megafunctions 5 5 Figure 5 4. ALTERA_PLL Megafunction General Tab Settings for Arria V and Stratix V Devices January 2013 Altera Corporation ALTDQ_DQS2 Megafunction

52 5 6 Chapter 5: Instantiating Megafunctions 6. On the Clock Switchover tab, specify the parameters as shown in Figure 5 5. Figure 5 5. ALTERA_PLL Megafunction Clock Switchover Tab Settings for Arria V and Stratix V Devices ALTDQ_DQS2 Megafunction January 2013 Altera Corporation

53 Chapter 5: Instantiating Megafunctions On the Cascading tab, specify the parameters as shown in Figure 5 6. Figure 5 6. ALTERA_PLL Megafunction Cascading Tab Settings for Arria V and Stratix V Devices January 2013 Altera Corporation ALTDQ_DQS2 Megafunction

54 5 8 Chapter 5: Instantiating Megafunctions 8. On the MIF Streaming tab, specify the parameters as shown in Figure 5 7. Figure 5 7. ALTERA_PLL Megafunction MIF Streaming Tab Settings for Arria V and Stratix V Devices ALTDQ_DQS2 Megafunction January 2013 Altera Corporation

55 Chapter 5: Instantiating Megafunctions On the Settings tab, specify the parameters as shown in Figure 5 8. Figure 5 8. ALTERA_PLL Megafunction Settings Tab for Arria V and Stratix V Devices January 2013 Altera Corporation ALTDQ_DQS2 Megafunction

56 5 10 Chapter 5: Instantiating Megafunctions 10. On the Settings tab, specify the parameters as shown in Figure 5 9. Figure 5 9. ALTERA_PLL Megafunction Advanced Parameters Tab Settings for Arria V and Stratix V Devices 11. Click Finish. ALTDQ_DQS2 Megafunction January 2013 Altera Corporation

57 Chapter 5: Instantiating Megafunctions 5 11 Instantiating the ALTDLL Megafunction To instantiate the ALTDLL megafunction, perform the following steps: 1. On the Tools menu, click MegaWizard Plug-In Manager. 2. Select Create a new custom megafunction variation, and then click Next. 3. Under the Installed Plug-In category, expand the I/O folder and then select ALTDLL and Verilog HDL, and type your desired file name in the What name do you want for the output file field. 4. Click Next. 5. On the Parameter Settings tab, on the General page, specify the parameters as shown in Figure Figure ALTDLL Megafunction Parameter Settings Tab for Arria V and Stratix V Devices 6. Click Next. January 2013 Altera Corporation ALTDQ_DQS2 Megafunction

58 5 12 Chapter 5: Instantiating Megafunctions 7. In the DLL Offset Controls/Optional Ports section, on the General page, specify the parameters as shown in Figure Figure ALTDLL Megafunction DLL Offset Controls/Optional Ports Section Settings for Arria V and Stratix V Devices 8. Click Next. ALTDQ_DQS2 Megafunction January 2013 Altera Corporation

59 Chapter 5: Instantiating Megafunctions On the Simulation Model tab, specify the parameters as shown in Figure Figure ALTDLL Megafunction Simulation Model Tab Settings for Arria V and Stratix V Devices 10. Click Next. January 2013 Altera Corporation ALTDQ_DQS2 Megafunction

60 5 14 Chapter 5: Instantiating Megafunctions 11. In the Summary tab, specify the parameters as shown in Figure Figure ALTDLL Megafunction Summary Tab Settings for Arria V and Stratix V Devices 12. Click Finish. ALTDQ_DQS2 Megafunction January 2013 Altera Corporation

61 Chapter 5: Instantiating Megafunctions 5 15 Instantiating the ALT_OCT Megafunction To instantiate the ALT_OCT megafunction, perform the following steps: 1. On the Tools menu, click MegaWizard Plug-In Manager. 2. Select Create a new custom megafunction variation, and then click Next. 3. Under the Installed Plug-In category, expand the I/O folder and then select ALTOCT and Verilog HDL, and type your desired file name in the What name do you want for the output file field. 4. Click Next. 5. On the Parameter Settings tab, on the General page, specify the parameters as shown in Figure Figure ALT_OCT Megafunction Parameter Settings Tab for Arria V and Stratix V Devices January 2013 Altera Corporation ALTDQ_DQS2 Megafunction

62 5 16 Chapter 5: Instantiating Megafunctions 6. Click Next. 7. In the EDA tab, specify the parameters as shown in Figure Figure ALT_OCT Megafunction EDA Tab Settings for Arria V and Stratix V Devices 8. Click Next. ALTDQ_DQS2 Megafunction January 2013 Altera Corporation

63 Chapter 5: Instantiating Megafunctions On the Summary tab, specify the parameters as shown in Figure Figure ALT_OCT Megafunction Summary Tab Settings for Arria V and Stratix V Devices 10. Click Finish. January 2013 Altera Corporation ALTDQ_DQS2 Megafunction

64 5 18 Chapter 5: Instantiating Megafunctions Figure 5 17 shows the block diagram for the ALTDQ_DQS2, ALTERA_PLL, ALTDLL, and ALT_OCT megafunctions for the Stratix V devices. Figure ALTDQ_DQS2, ALTERA_PLL, ALTDLL, and ALT_OCT Megafunctions Block Diagram for Stratix V Devices for Stratix V Devices ALTDQ_DQS2 Megafunction January 2013 Altera Corporation

65 Chapter 5: Instantiating Megafunctions 5 19 Figure ALTDQ_DQS2, ALTERA_PLL, ALTDLL, and ALT_OCT Megafunctions Block Diagram for Stratix V Devices for Arria V Devices January 2013 Altera Corporation ALTDQ_DQS2 Megafunction

ALTDQ_DQS2 IP Core User Guide

ALTDQ_DQS2 IP Core User Guide 2017.05.08 UG-01089 Subscribe The Altera ALTDQ_DQS2 megafunction IP core controls the double data rate (DDR) I/O elements (IOEs) for the data (DQ) and data strobe (DQS) signals in Arria V, Cyclone V, and

More information

ALTDQ_DQS2 IP Core User Guide

ALTDQ_DQS2 IP Core User Guide UG-189 Subscribe The Altera ALTDQ_DQS2 megafunction IP core controls the double data rate (DDR) I/O elements (IOEs) for the data (DQ) and data strobe (DQS) signals in Arria V, Cyclone V, and Stratix V

More information

External Memory Interfaces in Cyclone V Devices

External Memory Interfaces in Cyclone V Devices External Memory Interfaces in Cyclone V Devices..8 CV-5 Subscribe Feedback The Cyclone V devices provide an efficient architecture that allows you fit wide external memory interfaces support a high level

More information

10. Introduction to UniPHY IP

10. Introduction to UniPHY IP 10. Introduction to Uni IP November 2012 EMI_RM_008-2.1 EMI_RM_008-2.1 The Altera,, and LP SDRAM controllers with Uni, QDR II and QDR II+ SRAM controllers with Uni, RLDRAM II controller with Uni, and RLDRAM

More information

7. External Memory Interfaces in Arria II Devices

7. External Memory Interfaces in Arria II Devices ecember 2010 AIIGX51007-4.0 7. External Memory Interfaces in Arria II evices AIIGX51007-4.0 This chapter describes the hardware features in Arria II devices that facilitate high-speed memory interfacing

More information

7. External Memory Interfaces in Stratix IV Devices

7. External Memory Interfaces in Stratix IV Devices February 2011 SIV51007-3.2 7. External Memory Interfaces in Stratix IV evices SIV51007-3.2 This chapter describes external memory interfaces available with the Stratix IV device family and that family

More information

Implementing 9.8G CPRI in Arria V GT and ST FPGAs

Implementing 9.8G CPRI in Arria V GT and ST FPGAs 03..06 AN 686 Subscribe This application note describes the implementation of 9.8304 Gbps Common Public Radio Interface (CPRI) using the Arria V GT and Arria V ST FPGA transceivers. The hard physical coding

More information

External Memory Interfaces in Cyclone V Devices

External Memory Interfaces in Cyclone V Devices .. CV- Subscribe The Cyclone V devices provide an efficient architecture that allows you fit wide external memory interfaces support a high level of system bandwidth within the small modular I/O bank structure.

More information

8. Introduction to UniPHY IP

8. Introduction to UniPHY IP 8. Introduction to Uni IP November 2011 EMI_RM_008-1.1 EMI_RM_008-1.1 The Altera and SDRAM controllers with Uni, QDR II and QDR II+ SRAM controllers with Uni, and RLDRAM II controller with Uni provide

More information

Simulating the ASMI Block in Your Design

Simulating the ASMI Block in Your Design 2015.08.03 AN-720 Subscribe Supported Devices Overview You can simulate the ASMI block in your design for the following devices: Arria V, Arria V GZ, Arria 10 Cyclone V Stratix V In the Quartus II software,

More information

Altera I/O Phase-Locked Loop (Altera IOPLL) IP Core User Guide

Altera I/O Phase-Locked Loop (Altera IOPLL) IP Core User Guide 2015.05.04 Altera I/O Phase-Locked Loop (Altera IOPLL) IP Core User Guide UG-01155 Subscribe The Altera IOPLL megafunction IP core allows you to configure the settings of Arria 10 I/O PLL. Altera IOPLL

More information

SERDES Transmitter/Receiver (ALTLVDS) Megafunction User Guide

SERDES Transmitter/Receiver (ALTLVDS) Megafunction User Guide SERDES Transmitter/Receiver (ALTLVDS) Megafunction User Guide 101 Innovation Drive San Jose, CA 95134 www.altera.com Software Version: 8.1 Document Version: 4.0 Document Date: November 2008 UG-MF9504-4.0

More information

DDR and DDR2 SDRAM Controller Compiler User Guide

DDR and DDR2 SDRAM Controller Compiler User Guide DDR and DDR2 SDRAM Controller Compiler User Guide 101 Innovation Drive San Jose, CA 95134 www.altera.com Operations Part Number Compiler Version: 8.1 Document Date: November 2008 Copyright 2008 Altera

More information

MAX 10 User Flash Memory User Guide

MAX 10 User Flash Memory User Guide MAX 10 User Flash Memory User Guide Subscribe Last updated for Quartus Prime Design Suite: 16.0 UG-M10UFM 101 Innovation Drive San Jose, CA 95134 www.altera.com TOC-2 Contents MAX 10 User Flash Memory

More information

9. SEU Mitigation in Cyclone IV Devices

9. SEU Mitigation in Cyclone IV Devices 9. SEU Mitigation in Cyclone IV Devices May 2013 CYIV-51009-1.3 CYIV-51009-1.3 This chapter describes the cyclical redundancy check (CRC) error detection feature in user mode and how to recover from soft

More information

11. Analyzing Timing of Memory IP

11. Analyzing Timing of Memory IP 11. Analyzing Timing of Memory IP November 2012 EMI_DG_010-4.2 EMI_DG_010-4.2 Ensuring that your external memory interface meets the various timing requirements of today s high-speed memory devices can

More information

Using the Transceiver Reconfiguration Controller for Dynamic Reconfiguration in Arria V and Cyclone V Devices

Using the Transceiver Reconfiguration Controller for Dynamic Reconfiguration in Arria V and Cyclone V Devices Using the Transceiver Reconfiguration Controller for Dynamic Reconfiguration in Arria V and Cyclone V Devices Subscribe Feedback The Altera Transceiver Reconfiguration Controller dynamically reconfigures

More information

QDR II SRAM Board Design Guidelines

QDR II SRAM Board Design Guidelines 8 emi_dg_007 Subscribe The following topics provide guidelines for you to improve your system's signal integrity and layout guidelines to help successfully implement a QDR II or QDR II+ SRAM interface

More information

AN 610: Implementing Deterministic Latency for CPRI and OBSAI Protocols in Altera Devices

AN 610: Implementing Deterministic Latency for CPRI and OBSAI Protocols in Altera Devices AN 610: Implementing Deterministic Latency for CPRI and OBSAI Protocols in Altera Devices July 2010 AN-610-1.0 This application note describes how to implement deterministic latency for Common Public Radio

More information

13. HardCopy Design Migration Guidelines

13. HardCopy Design Migration Guidelines November 2012 EMI_DG_012-2.2 13. HardCopy Design Migration Guidelines EMI_DG_012-2.2 This chapter discusses HardCopy migration guidelines or UniPHY-based designs. I you want to migrate your ALTMEMPHY-based

More information

4. TriMatrix Embedded Memory Blocks in HardCopy IV Devices

4. TriMatrix Embedded Memory Blocks in HardCopy IV Devices January 2011 HIV51004-2.2 4. TriMatrix Embedded Memory Blocks in HardCopy IV Devices HIV51004-2.2 This chapter describes TriMatrix memory blocks, modes, features, and design considerations in HardCopy

More information

7. External Memory Interfaces in Cyclone IV Devices

7. External Memory Interfaces in Cyclone IV Devices March 2016 CYIV-51007-2.6 7. External Memory Interaces in Cyclone IV Devices CYIV-51007-2.6 This chapter describes the memory interace pin support and the external memory interace eatures o Cyclone IV

More information

AN 558: Implementing Dynamic Reconfiguration in Arria II Devices

AN 558: Implementing Dynamic Reconfiguration in Arria II Devices AN 558: Implementing Dynamic Reconfiguration in Arria II Devices AN-558-3.8 Application Note This application note describes how to use the dynamic reconfiguration feature and why you may want use this

More information

DDR & DDR2 SDRAM Controller Compiler

DDR & DDR2 SDRAM Controller Compiler DDR & DDR2 SDRAM Controller Compiler August 2007, Compiler Version 7.1 Errata Sheet This document addresses known errata and documentation issues for the DDR and DDR2 SDRAM Controller Compiler version

More information

Nios II Performance Benchmarks

Nios II Performance Benchmarks Subscribe Performance Benchmarks Overview This datasheet lists the performance and logic element (LE) usage for the Nios II Classic and Nios II Gen2 soft processor, and peripherals. Nios II is configurable

More information

Errata Sheet for Cyclone IV Devices

Errata Sheet for Cyclone IV Devices Errata Sheet for Cyclone IV Devices ES-01027-2.3 Errata Sheet This errata sheet provides updated information on known device issues affecting Cyclone IV devices. Table 1 lists specific Cyclone IV issues,

More information

Phase-Locked Loop Reconfiguration (ALTPLL_RECONFIG) Megafunction

Phase-Locked Loop Reconfiguration (ALTPLL_RECONFIG) Megafunction Phase-Locked Loop Reconfiguration (ALTPLL_RECONFIG) Megafunction UG-032405-6.0 User Guide This user guide describes the features and behavior of the ALTPLL_RECONFIG megafunction that you can configure

More information

Interfacing RLDRAM II with Stratix II, Stratix,& Stratix GX Devices

Interfacing RLDRAM II with Stratix II, Stratix,& Stratix GX Devices Interfacing RLDRAM II with Stratix II, Stratix,& Stratix GX Devices November 2005, ver. 3.1 Application Note 325 Introduction Reduced latency DRAM II (RLDRAM II) is a DRAM-based point-to-point memory device

More information

DDR & DDR2 SDRAM Controller Compiler

DDR & DDR2 SDRAM Controller Compiler DDR & DDR2 SDRAM Controller Compiler May 2006, Compiler Version 3.3.1 Errata Sheet This document addresses known errata and documentation issues for the DDR and DDR2 SDRAM Controller Compiler version 3.3.1.

More information

AN 558: Implementing Dynamic Reconfiguration in Arria II Devices

AN 558: Implementing Dynamic Reconfiguration in Arria II Devices AN 558: Implementing Dynamic Reconfiguration in Arria II Devices AN-558-3.1 Application Note This application note describes how to use the dynamic reconfiguration feature and why you may want use this

More information

Intel FPGA GPIO IP Core User Guide

Intel FPGA GPIO IP Core User Guide Intel FPGA GPIO IP Core User Guide Updated for Intel Quartus Prime Design Suite: 17.1 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents...3 Intel FPGA GPIO IP Core Features...

More information

AN 756: Altera GPIO to Altera PHYLite Design Implementation Guidelines

AN 756: Altera GPIO to Altera PHYLite Design Implementation Guidelines AN 756: Altera GPIO to Altera PHYLite Design Implementation Guidelines AN-756 2017.05.08 Subscribe Send Feedback Contents Contents 1...3 1.1 Implementing the Altera PHYLite Design... 3 1.1.1 Parameter

More information

System Debugging Tools Overview

System Debugging Tools Overview 9 QII53027 Subscribe About Altera System Debugging Tools The Altera system debugging tools help you verify your FPGA designs. As your product requirements continue to increase in complexity, the time you

More information

High Bandwidth Memory (HBM2) Interface Intel FPGA IP Design Example User Guide

High Bandwidth Memory (HBM2) Interface Intel FPGA IP Design Example User Guide High Bandwidth Memory (HBM2) Interface Intel FPGA IP Design Example Updated for Intel Quartus Prime Design Suite: 18.1.1 Subscribe Latest document on the web: PDF HTML Contents Contents 1. High Bandwidth

More information

Remote Update Intel FPGA IP User Guide

Remote Update Intel FPGA IP User Guide Remote Update Intel FPGA IP User Guide Updated for Intel Quartus Prime Design Suite: 18.0 Subscribe Latest document on the web: PDF HTML Contents Contents 1. Remote Update Intel FPGA IP User Guide... 3

More information

Stratix FPGA Family. Table 1 shows these issues and which Stratix devices each issue affects. Table 1. Stratix Family Issues (Part 1 of 2)

Stratix FPGA Family. Table 1 shows these issues and which Stratix devices each issue affects. Table 1. Stratix Family Issues (Part 1 of 2) January 2007, ver. 3.1 Errata Sheet This errata sheet provides updated information on Stratix devices. This document addresses known issues and includes methods to work around the issues. Table 1 shows

More information

DDR & DDR2 SDRAM Controller Compiler

DDR & DDR2 SDRAM Controller Compiler DDR & DDR2 SDRAM Controller Compiler march 2007, Compiler Version 7.0 Errata Sheet This document addresses known errata and documentation issues for the DDR and DDR2 SDRAM Controller Compiler version 7.0.

More information

AN 462: Implementing Multiple Memory Interfaces Using the ALTMEMPHY Megafunction

AN 462: Implementing Multiple Memory Interfaces Using the ALTMEMPHY Megafunction AN 462: Implementing Multiple Memory Interfaces Using the ALTMEMPHY Megafunction April 2009 AN-462-1.3 Introduction Many systems and applications use external memory interfaces as data storage or buffer

More information

Configuration via Protocol (CvP) Implementation in Altera FPGAs User Guide

Configuration via Protocol (CvP) Implementation in Altera FPGAs User Guide Configuration via Protocol (CvP) Implementation in Altera FPGAs User Guide Configuration via Protocol (CvP) Implementation in Altera FPGAs User Guide 101 Innovation Drive San Jose, CA 95134 www.altera.com

More information

Errata Sheet for Cyclone V Devices

Errata Sheet for Cyclone V Devices Errata Sheet for Cyclone V Devices ES-1035-2.5 Errata Sheet Table 1. Device Issues (Part 1 of 2) This errata sheet provides information about known issues affecting Cyclone V devices. Table 1 lists the

More information

PCI Express Multi-Channel DMA Interface

PCI Express Multi-Channel DMA Interface 2014.12.15 UG-01160 Subscribe The PCI Express DMA Multi-Channel Controller Example Design provides multi-channel support for the Stratix V Avalon Memory-Mapped (Avalon-MM) DMA for PCI Express IP Core.

More information

Implementing Double Data Rate I/O Signaling in Stratix & Stratix GX Devices. Introduction. DDR I/O Elements. Input Configuration

Implementing Double Data Rate I/O Signaling in Stratix & Stratix GX Devices. Introduction. DDR I/O Elements. Input Configuration Implementing Double Data Rate I/O Signaling in Stratix & Stratix GX Devices November 2002, ver. 2.0 Application Note 212 Introduction Typical I/O architectures transmit a single data word on each positive

More information

Implementing Multiple Memory Interfaces Using the ALTMEMPHY Megafunction

Implementing Multiple Memory Interfaces Using the ALTMEMPHY Megafunction Implementing Multiple Memory Interfaces Using the ALTMEMPHY Megafunction May 2008, v.1.2 Introduction Application Note 462 Many systems and applications use external memory interfaces as data storage or

More information

LVDS SERDES Transmitter / Receiver (ALTLVDS_TX and ALTLVDS_RX) Megafunction User Guide

LVDS SERDES Transmitter / Receiver (ALTLVDS_TX and ALTLVDS_RX) Megafunction User Guide LVDS SERDES Transmitter / Receiver (ALTLVDS_TX and ALTLVDS_RX) Megafunction 101 Innovation Drive San Jose, CA 95134 www.altera.com UG-MF9504-9.1 Document last updated for Altera Complete Design Suite version:

More information

Altera ASMI Parallel II IP Core User Guide

Altera ASMI Parallel II IP Core User Guide Altera ASMI Parallel II IP Core User Guide UG-20068 2017.05.08 Last updated for Intel Quartus Prime Design Suite: 17.0 Subscribe Send Feedback Contents Contents 1... 3 1.1 Ports...4 1.2 Parameters... 5

More information

7. External Memory Interfaces in HardCopy III Devices

7. External Memory Interfaces in HardCopy III Devices March 2012 HIV51007-2.2 7. External Memory Interfaces in HardCopy III evices HIII51007-3.2 This chapter describes the hardware features that support high-speed memory interfacing for each double data rate

More information

Quartus II Software Version 10.0 SP1 Device Support

Quartus II Software Version 10.0 SP1 Device Support Quartus II Software Version 10.0 SP1 Device Support RN-01057 Release Notes This document provides late-breaking information about device support in the 10.0 SP1 version of the Altera Quartus II software.

More information

8. Migrating Stratix II Device Resources to HardCopy II Devices

8. Migrating Stratix II Device Resources to HardCopy II Devices 8. Migrating Stratix II Device Resources to HardCopy II Devices H51024-1.3 Introduction Altera HardCopy II devices and Stratix II devices are both manufactured on a 1.2-V, 90-nm process technology and

More information

Error Correction Code (ALTECC_ENCODER and ALTECC_DECODER) Megafunctions User Guide

Error Correction Code (ALTECC_ENCODER and ALTECC_DECODER) Megafunctions User Guide Error Correction Code (ALTECC_ENCODER and ALTECC_DECODER) Megafunctions User Guide 11 Innovation Drive San Jose, CA 95134 www.altera.com Software Version 8. Document Version: 2. Document Date: June 28

More information

Introduction. Synchronous vs. Asynchronous Memory. Converting Memory from Asynchronous to Synchronous for Stratix & Stratix GX Designs

Introduction. Synchronous vs. Asynchronous Memory. Converting Memory from Asynchronous to Synchronous for Stratix & Stratix GX Designs Converting from synchronous to Synchronous for Stratix & Stratix GX esigns November 2002, ver. 2.0 pplication Note 210 Introduction The Stratix TM and Stratix GX device families provide a unique memory

More information

Intel Stratix 10 Clocking and PLL User Guide

Intel Stratix 10 Clocking and PLL User Guide Intel Stratix 10 Clocking and PLL User Guide Updated for Intel Quartus Prime Design Suite: 18.0 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1. Intel Stratix 10 Clocking

More information

Implementing OCT Calibration in Stratix III Devices

Implementing OCT Calibration in Stratix III Devices Implementing OCT Calibration in Stratix III Devices October 2007, version 1.0 Application Note 465 Introduction Use of the on-chip termination (OCT) scheme in Stratix III devices eliminates the need for

More information

9. Functional Description Example Designs

9. Functional Description Example Designs November 2012 EMI_RM_007-1.3 9. Functional Description Example Designs EMI_RM_007-1.3 This chapter describes the example designs and the traffic generator. Two independent example designs are created during

More information

Intel Stratix 10 High-Speed LVDS I/O User Guide

Intel Stratix 10 High-Speed LVDS I/O User Guide Intel Stratix 10 High-Speed LVDS I/O User Guide Updated for Intel Quartus Prime Design Suite: 18.1 Subscribe Latest document on the web: PDF HTML Contents Contents 1. Intel Stratix 10 High-Speed LVDS I/O

More information

3. ALTGX_RECONFIG IP Core User Guide for Stratix IV Devices

3. ALTGX_RECONFIG IP Core User Guide for Stratix IV Devices July 2014 SIV53004-2014.07.09 3. ALTGX_RECONFIG IP Core User Guide for Stratix IV Devices SIV53004-2014.07.09 This document describes how to define and instantiate the ALTGX_RECONFIG IP core using the

More information

Arria 10 Transceiver PHY User Guide

Arria 10 Transceiver PHY User Guide Arria 10 Transceiver PHY User Guide Subscribe UG-A10XCVR 101 Innovation Drive San Jose, CA 95134 www.altera.com TOC-2 Arria 10 Transceiver PHY User Guide Contents Arria 10 Transceiver PHY Overview...1-1

More information

16. Design Debugging Using In-System Sources and Probes

16. Design Debugging Using In-System Sources and Probes June 2012 QII53021-12.0.0 16. Design Debugging Using In-System Sources and Probes QII53021-12.0.0 This chapter provides detailed instructions about how to use the In-System Sources and Probes Editor and

More information

Analyzing Timing of Memory IP

Analyzing Timing of Memory IP 11 emi_dg_010 Subscribe The external memory physical layer (PHY) interface offers a combination of source-synchronous and self-calibrating circuits to maximize system timing margins. The physical layer

More information

ZBT SRAM Controller Reference Design

ZBT SRAM Controller Reference Design ZBT SRAM Controller Reference Design for APEX II Devices December 2001, ver. 1.0 Application Note 183 Introduction As communication systems require more low-latency, high-bandwidth interfaces for peripheral

More information

Intel MAX 10 Clocking and PLL User Guide

Intel MAX 10 Clocking and PLL User Guide Intel MAX 10 Clocking and PLL User Guide Updated for Intel Quartus Prime Design Suite: 18.0 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1. Intel MAX 10 Clocking and PLL

More information

On-Chip Memory Implementations

On-Chip Memory Implementations On-Chip Memory Implementations Using Cyclone Memory Blocks March 2003, ver. 1.1 Application Note 252 Introduction Cyclone devices feature embedded memory blocks that can be easily configured to support

More information

Stratix II FPGA Family

Stratix II FPGA Family October 2008, ver. 2.1 Errata Sheet Introduction This errata sheet provides updated information on Stratix II devices. This document addresses known device issues and includes methods to work around the

More information

High-Performance FPGA PLL Analysis with TimeQuest

High-Performance FPGA PLL Analysis with TimeQuest High-Performance FPGA PLL Analysis with TimeQuest August 2007, ver. 1.0 Application Note 471 Introduction f Phase-locked loops (PLLs) provide robust clock management and clock synthesis capabilities for

More information

Using Flexible-LVDS I/O Pins in

Using Flexible-LVDS I/O Pins in Using Flexible-LVDS I/O Pins in APEX II Devices August 2002, ver. 1.1 Application Note 167 Introduction Recent expansion in the telecommunications market and growth in Internet use have created a demand

More information

External Memory Interfaces Intel Arria 10 FPGA IP Design Example User Guide

External Memory Interfaces Intel Arria 10 FPGA IP Design Example User Guide External Memory Interfaces Intel Arria 10 FPGA IP Design Example User Guide Updated for Intel Quartus Prime Design Suite: 18.0 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents

More information

100G Interlaken MegaCore Function User Guide

100G Interlaken MegaCore Function User Guide 00G Interlaken MegaCore Function User Guide Subscribe UG-028 05.06.203 0 Innovation Drive San Jose, CA 9534 www.altera.com TOC-2 00G Interlaken MegaCore Function User Guide Contents About This MegaCore

More information

Intel Arria 10 Native Floating- Point DSP Intel FPGA IP User Guide

Intel Arria 10 Native Floating- Point DSP Intel FPGA IP User Guide Intel Arria 10 Native Floating- Point DSP Intel FPGA IP User Guide Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1...3 1.1 Parameterizing the Intel Arria 10 Native Floating-Point

More information

Implementing LVDS in Cyclone Devices

Implementing LVDS in Cyclone Devices Implementing LVDS in Cyclone Devices March 2003, ver. 1.1 Application Note 254 Introduction Preliminary Information From high-speed backplane applications to high-end switch boxes, LVDS is the technology

More information

Generic Serial Flash Interface Intel FPGA IP Core User Guide

Generic Serial Flash Interface Intel FPGA IP Core User Guide Generic Serial Flash Interface Intel FPGA IP Core User Guide Updated for Intel Quartus Prime Design Suite: 18.0 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1. Generic

More information

Intel Cyclone 10 External Memory Interfaces IP Design Example User Guide

Intel Cyclone 10 External Memory Interfaces IP Design Example User Guide Intel Cyclone 10 External Memory Interfaces IP Design Example User Guide Updated for Intel Quartus Prime Design Suite: 17.1 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents

More information

9. Hot Socketing and Power-On Reset in Stratix IV Devices

9. Hot Socketing and Power-On Reset in Stratix IV Devices February 2011 SIV51009-3.2 9. Hot Socketing and Power-On Reset in Stratix IV Devices SIV51009-3.2 This chapter describes hot-socketing specifications, power-on reset (POR) requirements, and their implementation

More information

8. High-Speed Differential I/O Interfaces and DPA in Stratix IV Devices

8. High-Speed Differential I/O Interfaces and DPA in Stratix IV Devices June 015 SIV51008-3.5 8. High-Speed Differential I/O Interfaces and DPA in Stratix IV Devices SIV51008-3.5 This chapter describes the significant advantages of the high-speed differential I/O interfaces

More information

Altera I/O Phase-Locked Loop (Altera IOPLL) IP Core User Guide

Altera I/O Phase-Locked Loop (Altera IOPLL) IP Core User Guide Altera I/O Phase-Locked Loop (Altera IOPLL) IP Core User Guide UG-01155 2017.06.16 Last updated for Intel Quartus Prime Design Suite: 17.0 Subscribe Send Feedback Contents Contents...3 Device Family Support...

More information

External Memory Interfaces in Arria V Devices

External Memory Interfaces in Arria V Devices External Memory Interfaces in Arria V evices 3.5.6 AV-5 Subscribe The Arria V devices provide an efficient architecture that allows you to fit wide external memory interfaces to support a high level of

More information

Intel MAX 10 User Flash Memory User Guide

Intel MAX 10 User Flash Memory User Guide Intel MAX 10 User Flash Memory User Guide Updated for Intel Quartus Prime Design Suite: 18.0 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1. Intel MAX 10 User Flash Memory

More information

EFEC20 IP Core. Features

EFEC20 IP Core. Features EFEC20 IP Core DS-1034-1.2 Data Sheet The Altera 20% Enhanced Forward Error Correction (EFEC20) IP core includes a highperformance encoder and decoder for Optical Transport Network (OTN) FEC applications.

More information

Implementing PLL Reconfiguration in Stratix & Stratix GX Devices

Implementing PLL Reconfiguration in Stratix & Stratix GX Devices December 2005, ver. 2.0 Implementing PLL Reconfiguration in Stratix & Stratix GX Devices Application Note 282 Introduction Phase-locked loops (PLLs) use several divide counters and delay elements to perform

More information

Intel FPGA Temperature Sensor IP Core User Guide

Intel FPGA Temperature Sensor IP Core User Guide Intel FPGA Temperature Sensor IP Core User Guide UG-01074 2017.09.14 Subscribe Send Feedback Contents Contents... 3 Intel FPGA Temperature Sensor Features...3 Intel FPGA Temperature Sensor Functional Description...

More information

Intel Stratix 10 External Memory Interfaces IP Design Example User Guide

Intel Stratix 10 External Memory Interfaces IP Design Example User Guide Intel Stratix 10 External Memory Interfaces IP Design Example User Guide Updated for Intel Quartus Prime Design Suite: 17.1 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents

More information

AN 547: Putting the MAX II CPLD in Hibernation Mode to Achieve Zero Standby Current

AN 547: Putting the MAX II CPLD in Hibernation Mode to Achieve Zero Standby Current AN 547: Putting the MAX II CPLD in Hibernation Mode to Achieve Zero Standby Current January 2009 AN-547-10 Introduction To save power, the MAX II CPLD can be completely powered down into hibernation mode

More information

RLDRAM II Controller MegaCore Function

RLDRAM II Controller MegaCore Function RLDRAM II Controller MegaCore Function November 2006, MegaCore Version 1.0.0 Errata Sheet This document addresses known errata and documentation issues for the RLDRAM II Controller MegaCore function version

More information

7. External Memory Interfaces in HardCopy IV Devices

7. External Memory Interfaces in HardCopy IV Devices March 2012 HIII51007-3.1 7. External Memory Interfaces in HardCopy IV evices HIV51007-2.3 This chapter describes the hardware features that support high-speed memory interfacing for each double data rate

More information

Upgrading to UniPHY-based Controllers from ALTMEMPHY-based Controllers

Upgrading to UniPHY-based Controllers from ALTMEMPHY-based Controllers Upgrading to UniPHY-based Controllers from ALTMEMPHY-based Controllers 14 emi_rm_012 Subscribe The following topics describe the process of upgrading to UniPHY from DDR2 or DDR3 SDRAM High-Performance

More information

Intel FPGA PHYLite for Parallel Interfaces IP Core User Guide

Intel FPGA PHYLite for Parallel Interfaces IP Core User Guide Intel FPGA PHYLite for Parallel Interfaces IP Core User Guide Updated for Intel Quartus Prime Design Suite: 17.1 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents...3 Device

More information

Recommended Protocol Configurations for Stratix IV GX FPGAs

Recommended Protocol Configurations for Stratix IV GX FPGAs Recommended Protocol s for Stratix IV GX FPGAs AN-577-3.0 Application Note The architecture of the Altera Stratix IV GX FPGA is designed to accommodate the widest range of protocol standards spread over

More information

Intel MAX 10 Clocking and PLL User Guide

Intel MAX 10 Clocking and PLL User Guide Intel MAX 10 Clocking and PLL User Guide Updated for Intel Quartus Prime Design Suite: 17.1 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1 Intel MAX 10 Clocking and PLL

More information

Intel Stratix 10 Logic Array Blocks and Adaptive Logic Modules User Guide

Intel Stratix 10 Logic Array Blocks and Adaptive Logic Modules User Guide Intel Stratix 10 Logic Array Blocks and Adaptive Logic Modules User Guide Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1 Intel Stratix 10 LAB and Overview... 3 2 HyperFlex

More information

Designing RGMII Interface with FPGA and HardCopy Devices

Designing RGMII Interface with FPGA and HardCopy Devices Designing RGMII Interface with FPGA and HardCopy Devices November 2007, ver. 1.0 Application Note 477 Introduction The Reduced Gigabit Media Independent Interface (RGMII) is an alternative to the IEEE

More information

MAX 10 Embedded Memory User Guide

MAX 10 Embedded Memory User Guide MAX 10 Embedded Memory User Guide Subscribe UG- M10MEMORY 101 Innovation Drive San Jose, CA 95134 www.altera.com TOC-2 Contents MAX 10 Embedded Memory Overview... 1-1 MAX 10 Embedded Memory Architecture

More information

Using Flexible-LVDS Circuitry in Mercury Devices

Using Flexible-LVDS Circuitry in Mercury Devices Using Flexible-LVDS Circuitry in Mercury Devices November 2002, ver. 1.1 Application Note 186 Introduction With the ever increasing demand for high bandwidth and low power consumption in the telecommunications

More information

Intel MAX 10 High-Speed LVDS I/O User Guide

Intel MAX 10 High-Speed LVDS I/O User Guide Intel MAX 10 High-Speed LVDS I/O User Guide Updated for Intel Quartus Prime Design Suite: 17.1 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1 Intel MAX 10 High-Speed LVDS

More information

Implementing Bus LVDS Interface in Cyclone III, Stratix III, and Stratix IV Devices

Implementing Bus LVDS Interface in Cyclone III, Stratix III, and Stratix IV Devices Implementing Bus LVDS Interface in Cyclone III, Stratix III, and Stratix IV Devices November 2008, ver. 1.1 Introduction LVDS is becoming the most popular differential I/O standard for high-speed transmission

More information

6. I/O Features in Arria II Devices

6. I/O Features in Arria II Devices 6. I/O Features in Arria II Devices December 2011 AIIGX51006-4.2 AIIGX51006-4.2 This chapter describes how Arria II devices provide I/O capabilities that allow you to work in compliance with current and

More information

5. Clock Networks and PLLs in Stratix IV Devices

5. Clock Networks and PLLs in Stratix IV Devices September 2012 SIV51005-3.4 5. Clock Networks and PLLs in Stratix IV Devices SIV51005-3.4 This chapter describes the hierarchical clock networks and phase-locked loops (PLLs) which have advanced features

More information

Dynamic Reconfiguration of PMA Controls in Stratix V Devices

Dynamic Reconfiguration of PMA Controls in Stratix V Devices Dynamic Reconfiguration of PMA Controls in Stratix V Devices AN-645-1.0 Application Note This application note describes how to use the transceiver reconfiguration controller to dynamically reconfigure

More information

Configuration via Protocol (CvP) Implementation in Altera FPGAs User Guide

Configuration via Protocol (CvP) Implementation in Altera FPGAs User Guide Configuration via Protocol (CvP) Implementation in Altera FPGAs User Guide Subscribe UG-01101 101 Innovation Drive San Jose, CA 95134 www.altera.com TOC-2 Configuration via Protocol (CvP) Implementation

More information

Interfacing DDR2 SDRAM with Stratix II, Stratix II GX, and Arria GX Devices

Interfacing DDR2 SDRAM with Stratix II, Stratix II GX, and Arria GX Devices Interfacing DDR2 SDRAM with Stratix II, Stratix II GX, and Arria GX Devices November 2007, ver. 4.0 Introduction Application Note 328 DDR2 SDRAM is the second generation of double-data rate (DDR) SDRAM

More information

Intel Stratix 10 Analog to Digital Converter User Guide

Intel Stratix 10 Analog to Digital Converter User Guide Intel Stratix 10 Analog to Digital Converter User Guide Updated for Intel Quartus Prime Design Suite: 17.1 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1 Intel Stratix

More information

External Memory Interface Handbook Volume 2 Section I. Device and Pin Planning

External Memory Interface Handbook Volume 2 Section I. Device and Pin Planning External Memory Interface Handbook Volume 2 Section I. Device and Pin Planning External Memory Interface Handbook Volume 2 101 Innovation Drive San Jose, CA 95134 www.altera.com EMI_PLAN_PIN-3.0 Document

More information

ASMI Parallel II Intel FPGA IP Core User Guide

ASMI Parallel II Intel FPGA IP Core User Guide ASMI Parallel II Intel FPGA IP Core User Guide Updated for Intel Quartus Prime Design Suite: 18.0 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1.... 3 1.1. Ports...4 1.2.

More information