3D Technologies For Low Power Integrated Circuits

Similar documents
Paul Franzon. Department of Electrical and Computer Engineering

Three Dimensional Integration

Memory Rich Application Exploration for 3D Integration

Physical Design Implementation for 3D IC Methodology and Tools. Dave Noice Vassilios Gerousis

EECS 598: Integrating Emerging Technologies with Computer Architecture. Lecture 10: Three-Dimensional (3D) Integration

IMEC CORE CMOS P. MARCHAL

3D systems-on-chip. A clever partitioning of circuits to improve area, cost, power and performance. The 3D technology landscape

3D TECHNOLOGIES: SOME PERSPECTIVES FOR MEMORY INTERCONNECT AND CONTROLLER

Xilinx SSI Technology Concept to Silicon Development Overview

Near-Threshold Computing: Reclaiming Moore s Law

L évolution des architectures et des technologies d intégration des circuits intégrés dans les Data centers

3D SYSTEM INTEGRATION TECHNOLOGY CHOICES AND CHALLENGE ERIC BEYNE, ANTONIO LA MANNA

Advancing high performance heterogeneous integration through die stacking

ABSTRACT. HU, JIANCHEN. System-level Pathfinding Flow for Three Dimensional Integrated Circuits. (Under the direction of Dr. W. Rhett Davis).

Physical Design of a 3D-Stacked Heterogeneous Multi-Core Processor

PicoServer : Using 3D Stacking Technology To Enable A Compact Energy Efficient Chip Multiprocessor

Interconnect Challenges in a Many Core Compute Environment. Jerry Bautista, PhD Gen Mgr, New Business Initiatives Intel, Tech and Manuf Grp

Monolithic 3D Integration using Standard Fab & Standard Transistors. Zvi Or-Bach CEO MonolithIC 3D Inc.

On GPU Bus Power Reduction with 3D IC Technologies

High Volume Manufacturing Supply Chain Ecosystem for 2.5D HBM2 ASIC SiPs

edram to the Rescue Why edram 1/3 Area 1/5 Power SER 2-3 Fit/Mbit vs 2k-5k for SRAM Smaller is faster What s Next?

THERMAL EXPLORATION AND SIGN-OFF ANALYSIS FOR ADVANCED 3D INTEGRATION

Processor and DRAM Integration by TSV- Based 3-D Stacking for Power-Aware SOCs

From 3D Toolbox to 3D Integration: Examples of Successful 3D Applicative Demonstrators N.Sillon. CEA. All rights reserved

ABSTRACT. GONSALVES, KIRAN. Memory Design for FFT Processor in 3DIC Technology. (Under the direction of Professor Paul D. Franzon).

Stacked Silicon Interconnect Technology (SSIT)

Design and Analysis of 3D IC-Based Low Power Stereo Matching Processors

Thermal Analysis on Face-to-Face(F2F)-bonded 3D ICs

Calibrating Achievable Design GSRC Annual Review June 9, 2002

Bringing 3D Integration to Packaging Mainstream

3D Integration & Packaging Challenges with through-silicon-vias (TSV)

BREAKING THE MEMORY WALL

inemi Roadmap Packaging and Component Substrates TWG

TechSearch International, Inc.

TechSearch International, Inc.

3-Dimensional (3D) ICs: A Survey

WLSI Extends Si Processing and Supports Moore s Law. Douglas Yu TSMC R&D,

LEVERAGING THE COMMERCIAL SECTOR AND PROVIDING DIFFERENTIATION THROUGH FUNCTIONAL DISAGGREGATION

Keynote Speaker. Matt Nowak Senior Director Advanced Technology Qualcomm CDMA Technologies

All Programmable: from Silicon to System

Emerging IC Packaging Platforms for ICT Systems - MEPTEC, IMAPS and SEMI Bay Area Luncheon Presentation

A Design Tradeoff Study with Monolithic 3D Integration

An Overview of Standard Cell Based Digital VLSI Design

Towards Performance Modeling of 3D Memory Integrated FPGA Architectures

Monolithic 3D IC Design for Deep Neural Networks

The Design of the KiloCore Chip

Five Emerging DRAM Interfaces You Should Know for Your Next Design

Technology Platform Segmentation

Thermal-Aware 3D IC Physical Design and Architecture Exploration

Z-RAM Ultra-Dense Memory for 90nm and Below. Hot Chips David E. Fisch, Anant Singh, Greg Popov Innovative Silicon Inc.

LEVERAGING THE COMMERCIAL SECTOR AND PROVIDING DIFFERENTIATION THROUGH FUNCTIONAL DISAGGREGATION

Xylem: Enhancing Vertical Thermal Conduction in 3D Processor-Memory Stacks

Cost-driven 3D Design Optimization with Metal Layer Reduction Technique

3D-IC is Now Real: Wide-IO is Driving 3D-IC TSV. Samta Bansal and Marc Greenberg, Cadence EDPS Monterey, CA April 5-6, 2012

ARCHIVE Françoise von Trapp Editorial Director 3D InCites ABSTRACT

Power and Thermal Models. for RAMP2

Designing 3D Tree-based FPGA TSV Count Minimization. V. Pangracious, Z. Marrakchi, H. Mehrez UPMC Sorbonne University Paris VI, France

DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group

Multilevel Memories. Joel Emer Computer Science and Artificial Intelligence Laboratory Massachusetts Institute of Technology

A Non-Volatile Microcontroller with Integrated Floating-Gate Transistors

The FPGA: An Engine for Innovation in Silicon and Packaging Technology

TechSearch International, Inc.

Abbas El Gamal. Joint work with: Mingjie Lin, Yi-Chang Lu, Simon Wong Work partially supported by DARPA 3D-IC program. Stanford University

A Low Power 720p Motion Estimation Processor with 3D Stacked Memory

Interposer Technology: Past, Now, and Future

A Fine Pitch MEMS Probe Card with Built in Active Device for 3D IC Test

Centip3De: A 64-Core, 3D Stacked, Near-Threshold System

VLSI IMPLEMENTATION OF L2 MEMORY DESIGN FOR 3-D INTEGRATION G.Sri Harsha* 1, S.Anjaneeyulu 2

Unleashing the Power of Embedded DRAM

Wafer Level Packaging The Promise Evolves Dr. Thomas Di Stefano Centipede Systems, Inc. IWLPC 2008

Photonics Integration in Si P Platform May 27 th Fiber to the Chip

Three DIMENSIONAL-CHIPS

UCLA 3D research started in 2002 under DARPA with CFDRC

ECE 486/586. Computer Architecture. Lecture # 2

Packaging Technology for Image-Processing LSI

Chip/Package/Board Design Flow

IBM's POWER5 Micro Processor Design and Methodology

ECE 5745 Complex Digital ASIC Design Topic 7: Packaging, Power Distribution, Clocking, and I/O

ECE 571 Advanced Microprocessor-Based Design Lecture 24

Non-contact Test at Advanced Process Nodes

Process and Design Solutions for Exploiting FD SOI Technology Towards Energy Efficient SOCs

Photon-to-Photon CMOS Imager: Opto-Electronic 3D Integration

Reducing DRAM Latency at Low Cost by Exploiting Heterogeneity. Donghyuk Lee Carnegie Mellon University

DFT Trends in the More than Moore Era. Stephen Pateras Mentor Graphics

Tier Partitioning Strategy to Mitigate BEOL Degradation and Cost Issues in Monolithic 3D ICs

Emerging Platforms, Emerging Technologies, and the Need for Crosscutting Tools Luca Carloni

Developed Hybrid Memory System for New SoC. -Why choose Wide I/O?

Digital Integrated Circuits A Design Perspective. Jan M. Rabaey

Reduce Your System Power Consumption with Altera FPGAs Altera Corporation Public

Stacking Untested Wafers to Improve Yield. The 3D Enigma

Three-Dimensional Integrated Circuits: Performance, Design Methodology, and CAD Tools

Low Power DRAM Evolution

Chapter 0 Introduction

Design and Analysis of Ultra Low Power Processors Using Sub/Near-Threshold 3D Stacked ICs

Thermal Management Challenges in Mobile Integrated Systems

A novel DRAM architecture as a low leakage alternative for SRAM caches in a 3D interconnect context.

On Enhancing Power Benefits in 3D ICs: Block Folding and Bonding Styles Perspective

3D Embedded Multi-core: Some Perspectives

1. NoCs: What s the point?

Additional Slides for Lecture 17. EE 271 Lecture 17

Transcription:

3D Technologies For Low Power Integrated Circuits Paul Franzon North Carolina State University Raleigh, NC paulf@ncsu.edu 919.515.7351

Outline 3DIC Technology Set Approaches to 3D Specific Power Minimization Comparative energy/operations Leverage Rent s Rule? The low-hanging fruit : Energy-optimized memory interfaces 3D-specific architectures Next: Heterogenous Integration CAD approaches to 3D optimization 2

3DIC Technology Set Bulk Silicon TSVs and bumps (25-40 m pitch) Face to face microbumps (2-30 m pitch) C TSV ~ 30 ff Tezzaron 3

3DIC Technology Set TSVs in an SOI process Tier-3 Transistor Layers 3D Via RF Back Metal Cvia ~ 0.4 ff Oxide Bond Interface Tier-2 3D Via Tier-1 Tier-1 Transistor Layer 20 m MIT Lincoln Labs 4

3DIC Technology Set Interposers: Thin film or 65/90 nm BEOL Assembly : Chip to Wafer or Wafer to wafer 5

Outline 3DIC Technology Set Approaches to 3D Specific Power Minimization Comparative energy/operations Is leveraging Rent s Rule enough? Energy-optimized memory interfaces 3D-specific architectures Heterogeneous Integration CAD approaches to 3D optimization 6

Energy per Operation DDR3 4.8 nj/word Optimized DRAM core 128 pj/word MIPS 64 core 400 pj/cycle 11 nm 0.4 V core 200 pj/op 45 nm 0.8 V FPU 38 pj/op SERDES I/O 1.9 nj/word 20 mv I/O 128 pj/word LPDDR2 512 pj/word 1 cm on interposer 300 pj/word On-chip/mm 7 pj/word TSV I/O (ESD) 7 pj/word TSV I/O (no ESD) 2 pj/word (64 bit words) Various Sources 7

Wire Power Reduction Exemplar power distribution FFT Processor 8

Shorter wires Modest Returns Relying on wire-length reduction alone is not enough 2D Design 0.13 m Cell Placement split across 6.6 m face-to-face bump structure Results get less compelling with technology scaling, as the microbumps don t scale 9

Memory on Logic Conventional TSV Enabled Less Overhead Flexible bank access nvidea x32 to x128 or Less interface power 3.2 GHz @ >10 pj/bit 1 GHz @ 0.3 pj/bit Flexible architecture Short wires Exploit dense face-to-face or Mobile N x 128 wide I/O Processor & SRAM 10

Mobile Graphics Problem: Want more graphics capacity but total power is constrained Solution: Trade power in memory interface with power to spend on computation POP with LPDDR2 LPDDR2 TSV IO TSV Enabled Power Consumption GPU Power Consumption GPU 532 M triangles/s 695 M triangles/s Won Ha Choi 11

Synthetic Aperture Radar Processor Built FFT in Lincoln Labs 3D Process Metric Undivided Divided % Bandwidth (GBps) 13.4 128.4 +854.9 Energy Per Write(pJ) 14.48 6.142-57.6 Energy Per Read (pj) 68.205 26.718-60.8 Memory Pins (#) 150 2272 +1414.7 Total Area (mm 2 ) 23.4 26.7 +16.8% Thor Thorolfsson 12

3D FFT Floorplan All communications is vertical Support multiple small memories WITHOUT an interconnect penalty AND Gives 60% memory power savings Thor Thorolfsson 13

RePartition FFT to Exploit Locality Every partition is a PE Every unique intersection is a memory 14

2DIC vs. 3DIC Implementation vs. Metric 2D 3D Change Total Area (mm 2 ) 31.36 23.4-25.3% Total Wire Length (m) 19.107 8.238-56.9% Max Speed (Mhz) 63.7 79.4 +24.6% Power @ 63.7MHz (mw) 340.0 324.9-4.4% FFT Logic Energy (µj) 3.552 3.366-5.2% Thor Thorolfsson 15

Memory bank size tradeoffs E.g. 32 x 2 kbit SRAM 10x less energy/bit than 1 x 64 kbit SRAM With 17% increase in area (partially recoverable by in 3D) 16

Tezzaron SAR Processor Metric Total Wire length (mm) Max. Frequency (MHz) Max Performance (MFlops) Parasitic Power (mw) Logic Power (mw) Memory Power (W) 2D 3D mpl 3D 588 487.3-1.17% 464.8-21% 31.6 33.84 +7.1% 38.74 +22.6% 316.1 338.4 +7.1% 387.4 +22.6% 1.51 1.79-15.5% 0.984-45.2% 5.975 5.692-4.8% 5.21-12.9% 10.3 3.1-71% Thor Thorolfsson 17

Next level of Exploitation Key: Architecture Optimized for 3D Exploitation Heterogeneous Integration (different voltages, processes) Power optimization without compromise Heterogeneous Memory - Energy optimized algorithmic approach 18

3D Miniaturization Miniature Sensors Focii mm 3 scale - Human Implantable (with Jan Rabaey, UC(B)) cm 3 scale - Food Safety & Agriculture (with KP Sandeep, NCSU) System Design Right sizing power harvesting for application Problems: Maximizing RF power harvesting potential Technology integration MEMS, Energy storage, passives in true 3D Peter Gadfort, Akalu Lentiro, Steve Lipa 19

Outline 3DIC Technology Set Approaches to 3D Specific Power Minimization Comparative energy/operations Leverage Rent s Rule? The low-hanging fruit : Energy-optimized memory interfaces 3D-specific architectures Next: Heterogenous Integration CAD approaches to 3D optimization 21

SystemC Methodology for Pathfinding User configuration Power Library ISA mode ISA Library Reference for control Commands Arch. setup, Execution control Power Manager Power Model (TLM) Unit energy, TSV constants 1. Logic: command processor, streamer, etc 2. Memory 3. Power Tracker Simulation results Update TSV constants Update virtual coord. 1. Stores power information 2. Generates pre-placement related information 3. Update virtual coordinates and TSV constants Won Ha Choi 22

Thermal and Physical Flow: Comprehensive technology file Resolution of simulation: Grid Size PETSC: Sparse Matrix Solver Thermal MNAM Composite technology file WireX: Thermal Extractor Power vector Textual Floor plan Power Hotspot only Transient Simulator e.g. HSPICE/fREEDA Static Thermal Profile Transient Thermal Profile Shivam Priyadashi23

Conclusions Getting Power Advantages from 3DIC: Method Logic-on-logic shorter wires Exploiting DRAM on logic as a low power interface Architecting System for Vertical Spatial Locality Trade area for power Exploiting Heterogenity 15%+ (??) Advantages 2% - 13% power improvement 30% improvement if application (mostly) fits in stacked DRAM Varies. 5% to 60% (?) Up to 20% at system level, when done from memories 25

Acknowledgements Faculty: William Rhett Davis, Michael B. Steer, Professionals: Steven Lipa, Neil DiSpigna, Students: Hua Hao, Samson Melamed, Peter Gadfort, Akalu Lentiro, Shivam Priyadarshi, Christopher Mineo, Julie Oh, Won Ha Choi, Zhou Yang, Ambirish Sule, Gary Charles, Thor Thorolfsson, Department of Electrical and Computer Engineering NC State University 26