Virtex-II Architecture. Virtex II technical, Design Solutions. Active Interconnect Technology (continued)

Similar documents
Basic FPGA Architecture Xilinx, Inc. All Rights Reserved

EE178 Lecture Module 2. Eric Crabill SJSU / Xilinx Fall 2007

Basic FPGA Architectures. Actel FPGAs. PLD Technologies: Antifuse. 3 Digital Systems Implementation Programmable Logic Devices

Field Programmable Gate Array (FPGA) Devices

Introduction to FPGAs. H. Krüger Bonn University

CPE/EE 422/522. Introduction to Xilinx Virtex Field-Programmable Gate Arrays Devices. Dr. Rhonda Kay Gaede UAH. Outline

Field Programmable Gate Array (FPGA)

APEX II The Complete I/O Solution

Altera Product Overview. Altera Product Overview

8. Migrating Stratix II Device Resources to HardCopy II Devices

Summary. Introduction. Application Note: Virtex, Virtex-E, Spartan-IIE, Spartan-3, Virtex-II, Virtex-II Pro. XAPP152 (v2.1) September 17, 2003

APEX Devices APEX 20KC. High-Density Embedded Programmable Logic Devices for System-Level Integration. Featuring. All-Layer Copper.

ispxpld TM 5000MX Family White Paper

Virtex-4 Family Overview

Section I. Cyclone II Device Family Data Sheet

Axcelerator Family FPGAs

Virtex-II Pro and Virtex-II Pro X Platform FPGAs: Complete Data Sheet

Section I. Cyclone II Device Family Data Sheet

Stratix. Introduction. Features... Programmable Logic Device Family. Preliminary Information

Programmable Logic. Any other approaches?

! Program logic functions, interconnect using SRAM. ! Advantages: ! Re-programmable; ! dynamically reconfigurable; ! uses standard processes.

7-Series Architecture Overview

FPGA Architecture Overview. Generic FPGA Architecture (1) FPGA Architecture

Stratix. Introduction. Features... 10,570 to 114,140 LEs; see Table 1. FPGA Family. Preliminary Information

Virtex-II Architecture

FPGA architecture and design technology

Topics. Midterm Finish Chapter 7

INTRODUCTION TO FPGA ARCHITECTURE

DATA SHEET AGM AG16K FPGA. Low Cost and High Performance FPGA. Revision: 1.0. Page 1 of 17

Gate Estimate. Practical (60% util)* (1000's) Max (100% util)* (1000's)

Stratix. High-Density, High-Performance FPGAs. Available in Production Quantities

The DSP Primer 8. FPGA Technology. DSPprimer Home. DSPprimer Notes. August 2005, University of Strathclyde, Scotland, UK

FPGA for Complex System Implementation. National Chiao Tung University Chun-Jen Tsai 04/14/2011

Virtex-II 1.5V Field-Programmable Gate Arrays

INTRODUCTION TO FIELD PROGRAMMABLE GATE ARRAYS (FPGAS)

Architecture by Xilinx, Inc. All rights reserved.

Section I. Cyclone FPGA Family Data Sheet

4. Selectable I/O Standards in Stratix & Stratix GX Devices

L2: FPGA HARDWARE : ADVANCED DIGITAL DESIGN PROJECT FALL 2015 BRANDON LUCIA

Section I. Cyclone II Device Family Data Sheet

XA Spartan-6 Automotive FPGA Family Overview

ECEU530. Project Presentations. ECE U530 Digital Hardware Synthesis. Rest of Semester. Memory Structures

QPro Virtex-II 1.5V Platform FPGAs

Physical Implementation


Achieving Breakthrough Performance with Virtex-4, the World s Fastest FPGA

The Next Generation 65-nm FPGA. Steve Douglass, Kees Vissers, Peter Alfke Xilinx August 21, 2006

Introduction to Partial Reconfiguration Methodology

DATA SHEET. Low Cost and High Performance FPGA. Revision: 1.1. Release date: Page 1 of 18

ispgdx2 vs. ispgdx Architecture Comparison

Chapter 8 FPGA Basics

Programmable Logic. Simple Programmable Logic Devices

Topics. Midterm Finish Chapter 7

International Training Workshop on FPGA Design for Scientific Instrumentation and Computing November 2013.

Today. Comments about assignment Max 1/T (skew = 0) Max clock skew? Comments about assignment 3 ASICs and Programmable logic Others courses

EE219A Spring 2008 Special Topics in Circuits and Signal Processing. Lecture 9. FPGA Architecture. Ranier Yap, Mohamed Ali.

Prototyping NGC. First Light. PICNIC Array Image of ESO Messenger Front Page

8. Selectable I/O Standards in Arria GX Devices

H100 Series FPGA Application Accelerators

Built-In Self-Test for Regular Structure Embedded Cores in System-on-Chip

Xilinx DSP. High Performance Signal Processing. January 1998

Introduction to Field Programmable Gate Arrays

Outline. Field Programmable Gate Arrays. Programming Technologies Architectures. Programming Interfaces. Historical perspective

High Capacity and High Performance 20nm FPGAs. Steve Young, Dinesh Gaitonde August Copyright 2014 Xilinx

Chapter 2. Cyclone II Architecture

EE260: Digital Design, Spring 2018

Section I. Cyclone FPGA Family Data Sheet

Using High-Speed Differential I/O Interfaces

5. High-Speed Differential I/O Interfaces in Stratix Devices

The Xilinx XC6200 chip, the software tools and the board development tools

Agenda. Introduction FPGA DSP platforms Design challenges New programming models for FPGAs

Introduction to Modern FPGAs

VHX - Xilinx - FPGA Programming in VHDL

EECS150 - Digital Design Lecture 16 - Memory

The Virtex FPGA and Introduction to design techniques

CS Digital Systems Project Laboratory

4. Selectable I/O Standards in Stratix II and Stratix II GX Devices

Intelop. *As new IP blocks become available, please contact the factory for the latest updated info.

EECS150 - Digital Design Lecture 16 Memory 1

Stratix vs. Virtex-II Pro FPGA Performance Analysis

Chapter 2. FPGA and Dynamic Reconfiguration ...

Virtex -E 1.8 V Extended Memory Field Programmable Gate Arrays

Lecture 7. Standard ICs FPGA (Field Programmable Gate Array) VHDL (Very-high-speed integrated circuits. Hardware Description Language)

The High-Reliability Programmable Logic Leader. Products for Space Applications. QML Certification Part of Overall Quality Platform

I/O Bank 3. 4Kbit RAM 4Kbit RAM NVCM. Nonvolatile Configuration Memory (NVCM)

Interfacing FPGAs with High Speed Memory Devices

Leso Martin, Musil Tomáš

FPGA. Logic Block. Plessey FPGA: basic building block here is 2-input NAND gate which is connected to each other to implement desired function.

AGM CPLD AGM CPLD DATASHEET

QPro Virtex-II 1.5V Radiation-Hardened QML Platform FPGAs

HDL Coding Style Xilinx, Inc. All Rights Reserved

ALTERA FPGAs Architecture & Design

Parallel FIR Filters. Chapter 5

FPGA: What? Why? Marco D. Santambrogio

Altera FLEX 8000 Block Diagram

Section I. Cyclone FPGA Family Data Sheet

Field Programmable Gate Array

Signal Processing Algorithms into Fixed Point FPGA Hardware Dennis Silage ECE Temple University

Stacked Silicon Interconnect Technology (SSIT)

ProASIC PLUS FPGA Family

Transcription:

Virtex-II Architecture SONET / SDH Virtex II technical, Design Solutions PCI-X PCI DCM Distri RAM 18Kb BRAM Multiplier LVDS FIFO Shift Registers BLVDS SDRAM QDR SRAM Backplane Rev 4 March 4th. 2002 J-L Brelet - All right reserved - XILINX 2002 Active Interconnect Technology Interconnect an array of switch matrices All Virtex II features can access routing resources through the switch matrix Simplify design and place & route Active Interconnect Technology (continued) Speed improvements and timing predictability Easy Soft IP and cores implementation IOB DCM 18Kb BRAM MULT 18x18 Fully buffered interconnect High fanout routing capability Fast long lines on top metal Fast local and direct connections Same interconnect in, IOB, BRAM, DCM Copper interconnect Segmented routing resources

Contains Four Slices Each is connected to one switch matrix Providing access to general routing resources TBUF TBUF SHIFT X0Y1 X0Y0 COUT CIN COUT X1Y1 X1Y0 CIN High level of logic integration! Wide-input functions: 16:1 multiplexer in 1 or any function 32:1 multiplixer in 2 s (1 level of LUT)! Fast arithmetic functions 2 look-ahead carry chains per column! Addressable shift registers in LUT 16-b shift register in 1 LUT 128-b shift register in 1 (dedicated shift chain) Fast Connects Horizontal Cascade Chain Wide AND-OR functions (Sum Of Products) ORCY SOP ORCY CY CY CY ORCY SOP SOP Shift Register Look-Up Table High density integration of shift registers DSP applications use SRL16 for delay matching CDMA wireless and video applications require shift registers Up to 128-b per Cascadable output Dynamic addressable output 16-b per LUT Multiple SRLC16 cascadable to any length Sophisticated Digital Clock Manager High-Speed 420 MHz clock generation: Clock de-skew on-chip and off-chip Up to 12 DCM per device Fully digital circuitry Flexible Frequency Synthesis Synthesis outputs: clock 0 & 180 (def.: 4X) High-Resolution Phase Shifting DPS fixed and variable modes Delay-Locked Loop (DLL) Precise Clock De-Skew DLL outputs: clock 0, 90, 180, 270 DLL outputs: clock 2X and clock division 50/50 duty cycle correction

Global Clocks Memory Bandwidth and Flexibility Up to 16 Dedicated Low Skew Clocks 16 global clock multiplexers & buffers 8 clock nets in each quadrant Global clock ENABLE glitch-free from one clock to another 16 clock pads (can be used as user I/O) Enhanced On-Chip SelectRAM TM Memory DSP Coefficients Small FIFOs Shallow/Wide 128x1 Large FIFOs Packet Buffers Video Line Buffers Cache Tag Memory Deep/Wide 18 kb Blocks Up to 400 Mbps/pin & QDR Distributed RAM bytes Block RAM kilobytes Terabit Memory Continuum Highest Memory To Logic Ratio! External RAM/ megabytes Embedded 18 kb Block RAM Up to 3 Mb on-chip block RAM High internal buffering bandwidth Reduced I/O count and more embedded memory 18Kbit block RAM Parity bit locations (parity in/out busses) Data width up to 36 bits 3 WRITE modes Output latches Set/Reset True Dual-Port RAM Independent clock (async.) & control True Dual-Port Configurations IN 8-bit Configurations available on each port: Port A: 8-b Port B: 32-b Configuration Depth Data bits Parity bits 16K x 1 16Kb 1 0 8K x 2 8Kb 2 0 4K x 4 4Kb 4 0 2K x 9 2Kb 8 1 1K x 18 1Kb 16 2 512 x 36 512 32 4 OUT 32-bit Independent port A and B configuration: Support for data width conversion including parity bits

New BRAM Write Modes Each port supports 3 WRITE modes set by configuration WRITE_FIRST mode : Output latches = Input bus Data_in DI Internal Memory DO DO = Data_in READ_FIRST mode : Output latches = Memory Data Data_in DI Internal Memory DO DO = prior stored data NO_CHANGE mode : Output latches are frozen Data_in DI Internal Memory DO DO (no change during Write) SelectRAM+ Distributed RAM Virtex-II LUT can implement: 16 x 1-bit synchronous RAM Synchronous write Asynchronous read D flip-flop in the same slice can register the output Can cascade 8 LUTs in a to form 128-bit wide Allow fast embedded RAM of any width Only limited by the number of slices in each device Example: RAM 64 x 12-bit fits in 48 LUTs Data_A (18 bits) Data_B (18 bits) 18 x 18 Multiplier Embedded 18-bit x 18-bit multiplier 2 s complement signed operation Multipliers are organized in columns 18 x 18 Multiplier Output (36 bits) Note: See Virtex-II Data Sheet for updated performances High-Speed Interface Design Leading edge FPGA I/O performance at 840 Mbps Support latest hot communication interface protocols, including: PCI-X 133 MHz RapidI/O support POS PHY Level 4 (16 bits/clk @ 832 Mbps), SPI-4 Lightening Data Transport (LDT) support

Up to 1108 User I/Os Virtex-II Configuration Double data rate Input, output and 3-state control registers Up to 554 differential I/O pairs: High-speed LVDS,Bus LVDS, and LVPECL on all I/O pairs Single-ended I/O: 19 standards supported PCI @ 33 MHz & 66 MHz compliant PCI-X @ 133 MHz compliant LVTTL, LVCMOS, SSTL, HSTL, GTL, AGP SRAM-based in-system configuration Slave and master serial modes Slave and master SelectMAP mode Fast 8-bit parallel configuration Built-In IEEE 1532 support Partial reconfiguration capability Readback capability for real-time debugging Built-In Internal Logic Analyzer (ILA) Complete solution for access and verification Triple DES Enables a New Level of FPGA Data Security Virtex-II solves design security issue for FPGAs Bitstream secured using triple DES (56 bit keys) Prevents SRAM FPGA design theft Enables protected customer-specific chip-sets 2 banks of 3 DES keys, allows 2 users with different keys All configuration methods (Serial, SelectMAP, JTAG) support encryption at full speed (50MHz @ 8 bits wide) Device XC2V Virtex-II Family Members 8x 8 40 80 250 500 1000 1500 2000 3000 4000 6000 8000 16 x 8 24 x 16 32 x 24 40 x 32 48 x 40 56 x 48 64 x 56 80 x 72 96 x 88 112 x 104 Array 18Kb BRAM 4 8 24 32 40 48 56 96 120 144 168 Multiplier 4 8 24 32 40 48 56 96 120 144 168 DCM 4 4 8 8 8 8 8 12 12 12 12 Max IOB 88 120 200 264 432 528 624 720 912 1,104 1,296 2 Columns BRAM & Multipliers 4 Columns BRAM & Multipliers 6 Columns BRAM & Multipliers

Flip-Chip Packaging: Best Thermals & Small Package Better electrical performance due to improved supply voltage distribution to core Dissipate up to 30 watts 2X more I/Os than SBGA Higher frequency switching with better noise control Ni Plated Cu Heat Spreader Ni Plated Cu Stiffener Flip Chip Solder Bumps Silicon Die Thermal die attach Underfill Epoxy Solder Balls Substrate Xilinx 1st Platform FPGA solution designed for ease of performance: 0.15µ 8LM Copper CMOS Process, with 0.12 µ Transistors IP-Immersion Architecture Upward compatible with Virtex, Virtex-E Devices 3x increase in capacity to 10M systems gates 1.5X increase in speed to 200MHz+ System Clock Dynamic on-chip termination and impedance matching Sophisticated DCM for high-speed clock design I/O bandwidth @ 840 Mbps Integrated RapidI/O, LDT, PCI-X, POS PHY Level 4 support Best memory to logic ratio Highest internal RAM bandwidth