Stratix. High-Density, High-Performance FPGAs. Available in Production Quantities

Size: px
Start display at page:

Download "Stratix. High-Density, High-Performance FPGAs. Available in Production Quantities"

Transcription

1 Stratix High-Density, High-Performance FPGAs Available in Production Quantities February 2004

2 High-Density, High-Performance FPGAs Altera s award-winning Stratix FPGA family delivers the most comprehensive set of capabilities available from any FPGA vendor. Stratix FPGAs share common, leading-edge architecture elements with the Stratix II, Stratix GX, and HardCopy Stratix device families and enable customers to build for a wide variety of highdensity, high-performance applications. The density of Stratix devices ranges from 10,570 to 79,040 logic elements (LEs) with up to 7 Mbits of embedded RAM and x 18 multipliers. Stratix devices have up to 12 phase-locked loops (PLLs), 40 system clocks, and support for many single-ended and differential I/O electrical standards. The new Stratix II FPGAs extend all of these capabilities for even greater capacity and performance. The Stratix family, like all Altera device families, is supported by an incredibly powerful suite of software tools, intellectual property (IP) libraries, and development systems. The Altera Quartus II software tools provide integrated design capture, simulation, logic synthesis, and place-and-route capabilities. With the Quartus II software, Altera customers can work in one integrated tool environment and target all the Altera devices from MAX CPLDs through Stratix II FPGAs. Altera IP cores are parameterized and optimized for a variety of applications ranging from memory interfaces to the Nios embedded processor. The Nios embedded processor is the fastest growing processor architecture for use in FPGAs and is supported by development tools that are tightly integrated into the Quartus II software and Altera s development kits. Altera s inexpensive development kits include development boards, a full suite of tools, and trial IP so users can be up and running in minutes. Shipping in volume now, the Stratix family is the first programmable logic device (PLD) family designed to enable a comprehensive block-based design methodology. For the first time, in conjunction with the Quartus II design software, designers can optimize and lock the performance of individual design blocks even when blocks are moved or integrated with other optimized functions. By eliminating the time-consuming process of performance re-optimization during system integration, Stratix FPGAs significantly enhance the time-to-market advantages of high-density programmable logic. In fact, Stratix devices deliver on average a 50% and as high as 100% improvement in push-button performance over previous architectures. Stratix FPGAs are based on a 1.5-V, 0.13-µm, all-layer-copper SRAM process. The Stratix TriMatrix memory structure, with three sizes of memory blocks, is optimized for highmemory bandwidth and large memory storage requirements. For digital signal processing (DSP) applications, Stratix devices include up to x 18 multiplier blocks that eliminate performance bottlenecks in arithmetic applications. The multipliers are implemented as part of Altera s DSP block, an optimized silicon core comprised of multiply and accumulate circuitry that provides predictable performance and significant resource savings for complex applications requiring high data throughput. Table 1 highlights features and benefits of the Stratix devices, and Table 2 shows the wide range of features and packages available. Table 1. Stratix Highlights Feature High-Performance Architecture TriMatrix Memory DSP Blocks High-Bandwidth I/O Standards and High-Speed Interfaces Clock Management Circuitry Remote System Upgrades Cost Reduction Migration Path Benefit Routing structure provides the basis for block-based design methodology for maximum system performance with minimum development time Three sizes of embedded memory blocks with up to 7 Mbits of RAM, up to 8 terabits per second of memory bandwidth, and data transfer rates of over 250 MHz Predictable 333-MHz performance for data throughput of up to 2.7 GMACS per DSP block Support for high-speed I/O standards and high-speed interfaces such as 10-Gigabit Ethernet (XSBI), SFI-4, POS-PHY Level 4, HyperTransport, RapidIO, and UTOPIA Level 4 interfaces at up to 840 Mbps, as well as support for advanced external memory device interfaces Up to 12 PLLs and up to 40 system clocks with features such as clock switchover, PLL reconfiguration, spreadspectrum clocking, frequency synthesis, and programmable phase and delay shift Real-time updates to PLDs from remote locations Cost-reduction migration path to HardCopy devices supported for high-density Stratix devices 2 Altera Corporation

3 Table 2. Stratix Family Overview Feature EP1S10 EP1S20 EP1S25 EP1S30 EP1S40 EP1S0 EP1S80 LEs 10,570 18,40 25,0 32,470 41,250 57,120 79,040 M512 RAM Blocks (512 bits + parity) M4K RAM Blocks (4 Kbits + parity) M-RAM Blocks (512 Kbits + parity) Total RAM Bits 920,448 1,9,248 1,944,57 3,317,184 3,423,744 5,215,104 7,427,520 DSP Blocks Embedded Multipliers (1) PLLs Maximum User I/O Pins ,022 1,238 Available Packages 72-Pin Ball- Grid Array (BGA) 484-Pin 72-Pin 780-Pin 72-Pin BGA 484-Pin 72-Pin 780-Pin 72-Pin BGA 72-Pin 780-Pin 1,020-Pin 95-Pin BGA 780-Pin 1,020-Pin 95-Pin BGA 1,020-Pin 1,508-Pin 95-Pin BGA 1,020-Pin 1,508-Pin 95-Pin BGA 1,508-Pin Note: (1) Total number of embedded 9x9 multipliers. To obtain the total number of 18x18 multipliers per device, divide the total number of 9x9 multipliers by 2. To obtain the total number of 3x3 multipliers per device, divide the total number of 9x9 multipliers by 8. High-Bandwidth Solution The Stratix device family was specifically designed to address the increasing bandwidth requirements of highspeed systems. All aspects of bandwidth are increased: overall memory bandwidth, arithmetic bandwidth for DSP applications, I/O bandwidth, and core performance. Built on the performance-optimized and highly flexible MultiTrack continuous routing structure, Stratix devices offer dramatically higher core performance than any previous architecture. Combined with embedded features such as TriMatrix memory, DSP blocks, and dedicated high-speed I/O interfaces, Stratix devices deliver the best possible system integration to meet the requirements of high-bandwidth systems. TriMatrix Memory Stratix devices feature the TriMatrix memory structure, made up of three sizes of embedded RAM blocks. TriMatrix memory includes the 512-bit M512 blocks, the 4-Kbit M4K blocks, and the 512-Kbit M-RAM blocks, each of which can be configured to support a wide range of features. Each embedded RAM block in the TriMatrix memory structure targets a different class of applications: the M512 blocks can Figure 1. TriMatrix Memory Structure M512 Blocks 512 bits per block Up to 1,118 blocks More Bits for Larger Memory Buffering More Data Ports for Greater Memory Bandwidth Rake receiver correlator Shift register Small FIFO buffers Finite impulse response (FIR) filter delay line M4K Blocks 4 Kbits per block Up to 520 blocks Applications ATM cell packet processing Header/cell storage Channelized functions Program memory for processors M-RAM Blocks 512 Kbits per block Up to 12 blocks IP packet buffering System cache Video frame buffers Echo canceller data storage Processor code storage Altera Corporation 3

4 be used for small functions such as first-in first-out (FIFO) applications; the M4K blocks can be used to store incoming data from multi-channel I/O protocols, and the M-RAM blocks can be used for storage-intensive applications such as Internet protocol packet buffering or as program/data memory for an on-chip Nios embedded processor. All memory blocks include extra parity bits for error control, embedded shift register functionality, mixed-width mode, and mixed-clock mode support. Additionally, the M4K and M-RAM blocks support true dual-port mode and byte masking for advanced write operations. With up to 7 Mbits of RAM and up to 8 terabits per second of device memory bandwidth, the TriMatrix memory structure, shown in Figure 1, makes the Stratix family an ideal choice for memory-intensive applications. Figure 2. DSP Block Architecture Optional Input Registers Optional Pipeline Registers Summation Unit Optional Output Registers DSP Blocks The programmable DSP blocks in Stratix devices are highperformance embedded arithmetic units optimized for applications such as rake receivers, voice over Internet protocol (VoIP) gateways, orthogonal frequency division multiplexing (OFDM) transceivers, image processing applications, and multimedia entertainment systems. Flexible, efficient, and valuable for a variety of applications that require high data throughput, Stratix DSP blocks can implement a variety of typical DSP functions, such as finite impulse response (FIR) filters, fast Fourier transform (FFT) functions, correlators, and encryption/decryption functions, and are ideal for the wireless communication, telecommunication, video, and image processing markets. The DSP blocks eliminate performance bottlenecks in complex arithmetic applications, provide predictable and reliable performance, and save resources without compromising performance. This makes Stratix DSP blocks ideal for implementing computationally complex systems while providing high data throughput at the same time. Stratix DSP blocks consist of hardware multipliers, adders, subtractors, accumulators, and pipeline registers. Because the Stratix DSP blocks are implemented with dedicated circuitry, as shown in Figure 2, they offer designers optimal performance. DSP blocks in Stratix devices can run at 333 MHz to provide data throughput performance of up to 2.7 GMACS per DSP block. With up to 22 DSP blocks, Stratix devices can provide a combined throughput that is more than 10 times the data throughput available from leading digital signal processors today. Table 3 highlights the features of Stratix DSP blocks. Multiplier Table 3. DSP Block Features Programmable Element Multiplier Adder/Subtractor/ Accumulator Summation Unit Complex Shift Function Adder/Subtractor/ Accumulator Benefit 9x9, 18x18, and 3x3 multiplication Floating-point arithmetic Signed and unsigned operation Full precision in all modes Optional shift register chain on inputs Dynamic switching between adder and subtractor 9-bit, 18-bit, or 3-bit operation for adder and subtractor 52-bit accumulator Signed and unsigned operation Summation of up to four products in one clock cycle Barrel shifter, cross-bar switch, and encryption High-Bandwidth I/O Standards & High-Speed Interfaces Stratix devices support a variety of single-ended and differential I/O standards to interface easily with backplanes, host processors, buses, memory devices, and 3D graphics controllers. Stratix devices offer designers access to up to 4 Altera Corporation

5 Table 4. Stratix I/O Standard & Interface Support Feature Electrical Standards Dedicated Circuitry Related Altera Intellectual Property (IP) Cores & Reference Designs Single-Ended I/O Standards LVTTL, LVCMOS, SSTL, HSTL, PCI-X, CTT, AGP, GTL+ On-chip termination PCI compliant PCI-X 32-/4-Bit PCI CSIX Direct memory access (DMA) controller Universal serial bus (USB) controller Differential I/O Standards LVDS, LVPECL, HyperTransport, PCML True-LVDS circuitry Dedicated SERDES circuitry Differential I/O buffers Data realignment POS-PHY Level 4 UTOPIA Level 4 Flexbus Level 4 HyperTransport RapidIO External Memory Interfaces SSTL-2, SSTL-3, SSTL-18, HSTL Class I & II, Differential SSTL, Differential HSTL Dedicated DDR circuitry Dedicated DQS circuit DDR timing circuit Dedicated I/O registers DDR SDRAM controller Single data rate (SDR) SDRAM controller DDR FCRAM controller Quad data rate (QDR) SRAM controller ZBT RAM controller 152 high-speed differential I/O channels. Each of these I/O channels includes dedicated serializer/deserializer (SERDES) circuitry for high-speed interface standards as shown in Table 4. This support for high-speed I/O interfaces and highbandwidth protocols makes Stratix devices an ideal solution for complete system integration. Differential I/O Standard Support Stratix devices offer the True-LVDS circuitry to support the LVDS, LVPECL, PCML, and HyperTransport differential I/O standards as well as differential HSTL and SSTL. The Stratix device family has up to 13 high-speed input and 152 output differential I/O channels with up to 80 channels optimized for 840-Mbps operation. Single-Ended I/O Standard Support To interface with other devices on a board, Stratix devices support single-ended I/O standards such as LVTTL, LVCMOS, SSTL, HSTL, GTL, GTL+, PCI-X, AGP, and CTT. Devices using single-ended I/O standards provide more current drive capacity than differential I/O standards, and they are necessary when working with advanced memory devices such as double data rate (DDR) SDRAM and zero-bus turnaround (ZBT) SRAM devices. High-Speed Interface Support Altera continues its industry-leading high-speed differential I/O interface support with Stratix devices. Stratix devices support a wide array of high-speed interface standards, including the 10-Gigabit Ethernet (XSBI), SFI-4, POS-PHY Level 4 (SPI-4 Phase 2), HyperTransport, RapidIO, and Figure 3. Stratix On-Board High-Speed Interface Support ASSP Network Processing XSBI, SFI-4, UTOPIA IV POS-PHY L4 To Host Processor RapidIO, HyperTransport Backplane Table 5. Stratix External Memory Interface Sup port External Memory Device SDR SDRAM DDR SDRAM DDR FCRAM ZBT SRAM QDR SRAM QDRII SRAM Maximum Data Transfer Rate 200 Mbps 400 Mbps 400 Mbps 200 Mbps 8 Mbps 8 Mbps To Backplane UTOPIA IV standards. Designers can use Altera intellectual property (IP) cores to bridge between high-speed interfaces through the Atlantic interface. In addition, Stratix devices can support up to four high-bandwidth interfaces in one device for an ideal bridging solution, as shown in Figure 3. Memory Clock Spe ed 200 MHz 200 MHz 200 MHz 200 MHz 17 MHz 17 MHz Altera Corporation 5

6 High-Speed External Memory Interface Support In addition to the on-chip TriMatrix memory, Stratix devices address increasing memory bandwidth requirements by providing customers the capability to interface with additional off-chip data storage that supports external memory interfaces. Designers can easily connect Stratix devices to a wide range of the latest SRAM and DRAM memory devices from leading vendors. Using Stratix device features and customizable IP, designers can integrate high-density memory devices into complex system designs without reducing data access performance or increasing development time. Stratix devices address a wide variety of cutting-edge memory interfaces, as summarized in Table 5. Figure 4. Stratix Clock Management Circuitry High-Performance Architecture Built on an innovative architecture, the Stratix devices allow designers to take advantage of the performance capabilities of dedicated silicon blocks while preserving overall design flexibility. This architecture gives designers the solution they need to reach design performance and bandwidth targets. Stratix Architectural Advances The Stratix device family is based on an architecture that was built from the ground up to power complex designs to new levels of system integration. In concert with the LogicLock design methodology, Stratix devices simplify the difficult process of design integration, providing the basis upon which block-based designs can be developed and optimized for maximum performance. This high-performance architecture is based on the MultiTrack interconnect with DirectDrive technology. The MultiTrack interconnect consists of continuous, performance-optimized routing lines of different lengths used for inter- and intra-design block connectivity. DirectDrive technology is a proprietary, deterministic routing technology that ensures identical routing resource usage for any function, regardless of its placement within the device. These two architectural advances simplify the system integration stage of block-based design by eliminating the time-consuming system re-optimization cycles that typically follow design changes and additions. for system-level clocking management previously found only in high-end discrete PLL devices. Stratix devices offer two types of PLLs: enhanced PLLs that support advanced features such as external feedback, clock switchover, PLL reconfiguration, spread-spectrum clocking, and programmable bandwidth; and fast PLLs that are optimized for high-speed differential I/O interfaces and can be used for general-purpose clocking. Figure 4 illustrates the features of Stratix PLLs. On-Chip Termination Technology Stratix devices include on-chip termination resistor technology that supports on-chip serial and differential termination and driver impedance matching. Driver impedance matching is essential for reducing reflections and improving signal integrity (as shown in Figure 5) for maximum system performance. Stratix on-chip termination also reduces board space usage and simplifies board layout by minimizing the Figure 5. Termination Clock Management Circuitry With up to 12 PLLs and up to 40 system clocks per device, Stratix devices are built to function as the central clock manager to meet your system timing challenges. These devices are the first PLDs to offer the on-chip PLL features Signal Reflection Without Termination Improved Signal Integrity On-Chip Termination Altera Corporation

7 number of external resistors required on the PCB when compared to other termination techniques, as Figure illustrates. To provide constant calibration of the internal resistor values, Altera termination technology utilizes two external precision resistors per I/O bank and monitors the value of these resistors. The termination resistors can then compensate for process, temperature, and voltage variations. Remote System Upgrades While using PLDs gets your designs to market faster, using the remote system upgrade capability in Stratix devices can keep your products on technology s cutting edge. Remote system upgrades can be transmitted through any communications network to keep your designs ahead of the competition. Stratix devices provide both enhanced design flexibility and an extended life cycle, as shown in Figure. Figure. Remote System Upgrade Benefits Revenue Get to Market Faster PLD-Based System Remote-Upgrade-Enabled System ASIC-Based System Time Altera SOPC Solutions Stay in Market Longer Expanded Life Cycle Stratix devices bring high levels of system integration for system-on-a-programmable-chip (SOPC) designs. Altera offers a set of solutions for creating complete systems on Stratix devices, including the Quartus II design software, optimized IP, the Nios embedded processor, and customer training. Design Software Technology Leadership Altera s powerful yet easy-to-use Quartus II software now features unique advantages in design flow methodology support, system design, IP integration and evaluation, placeand-route technology, timing closure methodology, in-system verification technology, and third-party EDA support. The Quartus II software provides the most comprehensive environment available for FPGA, CPLD, and HardCopy structured ASIC designs. The Quartus II software technology leadership delivers designers unmatched performance, efficiency, and ease-of-use for high-density FPGA designs. For more details on Quartus II software, please refer to Intellectual Property Altera and Altera Megafunction Partners Program (AMPP SM ) partners offer over 0 off-the-shelf IP megafunctions optimized for Altera Stratix devices. Designers can easily implement these parameterized blocks of IP, reducing design and test time. Megafunctions provide total solutions by targeting specific application areas, providing optimized performance and system reusability, and significantly reducing a product s time-to-market. Nios Embedded Processor Solutions Nios embedded processors allow designers to integrate embedded processors on Stratix devices for complete SOPC designs. The Nios soft embedded processor has been optimized for the advanced architectural features of the Stratix device family to deliver increased performance and capabilities. With the Altera SOPC Builder, designers can select from the wide array of IP components, customize them for the particular application, and connect them automatically generating hardware, software, and simulation models for their custom implementation. Stratix devices and the Nios embedded processor solutions simplify the design process and accelerate time-to-market. Customer Training For customers interested in learning more about designing quickly and efficiently with Stratix devices, Altera has launched a unique instructor-led modular training series. This Stratix device-specific series consists of individual training modules that can be arranged to create custom courses tailored to customer needs and based on their existing experience. Altera also offers three one-day courses that cover all modules. Contact Altera Today The Stratix device family provides the ideal solution for your high-bandwidth, high-performance design needs. Visit the Altera web site today to learn more about the Stratix device family and its high bandwidth solutions at Altera Corporation 7

8 The Programmable Solutions Company Altera Offices Altera Corporation 101 Innovation Drive San Jose, CA USA Telephone: (408) Altera European Headquarters Holmers Farm Way High Wycombe Buckinghamshire HP12 4XF United Kingdom Telephone: (44) Altera Japan Ltd. Shinjuku i-land Tower 32F -5-1, Nishi-Shinjuku Shinjuku-ku, Tokyo Japan Telephone: (81) Altera International Ltd Tower The Gateway, Harbour City 9 Canton Road Tsimshatsui Kowloon Hong Kong Telephone: (852) Copyright 2004 Altera Corporation. All rights reserved. Altera, The Programmable Solutions Company, the stylized Altera logo, specific device designations, and all other words and logos that are identified as trademarks and/or service marks are, unless noted otherwise, the trademarks and service marks of Altera Corporation in the U.S. and other countries. All other trademarks are the property of their respective owners and may be registered in certain jurisdictions. Altera products are protected under numerous U.S. and foreign patents and pending applications, mask work rights, and copyrights. GB-STRATIX-3.0

APEX II The Complete I/O Solution

APEX II The Complete I/O Solution APEX II The Complete I/O Solution July 2002 Altera introduces the APEX II device family: highperformance, high-bandwidth programmable logic devices (PLDs) targeted towards emerging network communications

More information

APEX Devices APEX 20KC. High-Density Embedded Programmable Logic Devices for System-Level Integration. Featuring. All-Layer Copper.

APEX Devices APEX 20KC. High-Density Embedded Programmable Logic Devices for System-Level Integration. Featuring. All-Layer Copper. APEX Devices High-Density Embedded Programmable Logic Devices for System-Level Integration APEX 0KC Featuring All-Layer Copper Interconnect July 00 APEX programmable logic devices provide the flexibility

More information

Cyclone III low-cost FPGAs

Cyclone III low-cost FPGAs Cyclone III low-cost FPGAs Unlimited possibilities Your design ideas have the potential to prosper. But, in the end, they are only as good as your ability to execute. Cyclone III FPGAs deliver the value

More information

Altera Product Overview. Altera Product Overview

Altera Product Overview. Altera Product Overview Altera Product Overview Tim Colleran Vice President, Product Marketing Altera Product Overview High Density + High Bandwidth I/O Programmable ASSP with CDR High-Speed Product Term Embedded Processor High

More information

MAX II CPLD Applications Brochure

MAX II CPLD Applications Brochure MAX II CPLD Applications Brochure Whether designing for communications, consumer, computing, or industrial applications, MAX II devices offer the features designers need when developing control path applications

More information

Stratix. Introduction. Features... Programmable Logic Device Family. Preliminary Information

Stratix. Introduction. Features... Programmable Logic Device Family. Preliminary Information Stratix Programmable Logic Device Family February 2002, ver. 1.0 Data Sheet Introduction Preliminary Information The Stratix family of programmable logic devices (PLDs) is based on a 1.5-V, 0.13-µm, all-layer

More information

Stratix III FPGAs. Bring your ideas to life.

Stratix III FPGAs. Bring your ideas to life. Stratix III FPGAs Bring your ideas to life. It s a jungle out there. Give your ideas life with a device that puts your designs at the top of the food chain. Cheetah-like speed, elephant-sized memory, and

More information

Stratix. Introduction. Features... 10,570 to 114,140 LEs; see Table 1. FPGA Family. Preliminary Information

Stratix. Introduction. Features... 10,570 to 114,140 LEs; see Table 1. FPGA Family. Preliminary Information Stratix FPGA Family December 2002, ver. 3.0 Data Sheet Introduction Preliminary Information The Stratix TM family of FPGAs is based on a 1.5-V, 0.13-µm, all-layer copper SRAM process, with densities up

More information

8. Migrating Stratix II Device Resources to HardCopy II Devices

8. Migrating Stratix II Device Resources to HardCopy II Devices 8. Migrating Stratix II Device Resources to HardCopy II Devices H51024-1.3 Introduction Altera HardCopy II devices and Stratix II devices are both manufactured on a 1.2-V, 90-nm process technology and

More information

Field Programmable Gate Array (FPGA) Devices

Field Programmable Gate Array (FPGA) Devices Field Programmable Gate Array (FPGA) Devices 1 Contents Altera FPGAs and CPLDs CPLDs FPGAs with embedded processors ACEX FPGAs Cyclone I,II FPGAs APEX FPGAs Stratix FPGAs Stratix II,III FPGAs Xilinx FPGAs

More information

White Paper The Need for a High-Bandwidth Memory Architecture in Programmable Logic Devices

White Paper The Need for a High-Bandwidth Memory Architecture in Programmable Logic Devices Introduction White Paper The Need for a High-Bandwidth Memory Architecture in Programmable Logic Devices One of the challenges faced by engineers designing communications equipment is that memory devices

More information

Section I. Cyclone II Device Family Data Sheet

Section I. Cyclone II Device Family Data Sheet Section I. Cyclone II Device Family Data Sheet This section provides information for board layout designers to successfully layout their boards for Cyclone II devices. It contains the required PCB layout

More information

Section I. Cyclone II Device Family Data Sheet

Section I. Cyclone II Device Family Data Sheet Section I. Cyclone II Device Family Data Sheet This section provides provides information for board layout designers to successfully layout their boards for Cyclone II devices. It contains the required

More information

Interfacing FPGAs with High Speed Memory Devices

Interfacing FPGAs with High Speed Memory Devices Interfacing FPGAs with High Speed Memory Devices 2002 Agenda Memory Requirements Memory System Bandwidth Do I Need External Memory? Altera External Memory Interface Support Memory Interface Challenges

More information

Altera Provides New Levels of System Integration with the Introduction of the Stratix Device Family

Altera Provides New Levels of System Integration with the Introduction of the Stratix Device Family First Quarter 2002 Newsletter for Altera Customers Altera Provides New Levels of System Integration with the Introduction of the Stratix Device Family Next-generation systems demand a dramatic level of

More information

Distributed by: www.jameco.com 1-800-831-4242 The content and copyrights of the attached material are the property of its owner. Section I. Stratix II Device Family Data Sheet This section provides the

More information

Section I. Cyclone II Device Family Data Sheet

Section I. Cyclone II Device Family Data Sheet Section I. Cyclone II Device Family Data Sheet This section provides information for board layout designers to successfully layout their boards for Cyclone II devices. It contains the required PCB layout

More information

Virtex-II Architecture. Virtex II technical, Design Solutions. Active Interconnect Technology (continued)

Virtex-II Architecture. Virtex II technical, Design Solutions. Active Interconnect Technology (continued) Virtex-II Architecture SONET / SDH Virtex II technical, Design Solutions PCI-X PCI DCM Distri RAM 18Kb BRAM Multiplier LVDS FIFO Shift Registers BLVDS SDRAM QDR SRAM Backplane Rev 4 March 4th. 2002 J-L

More information

Chapter 2. Cyclone II Architecture

Chapter 2. Cyclone II Architecture Chapter 2. Cyclone II Architecture CII51002-1.0 Functional Description Cyclone II devices contain a two-dimensional row- and column-based architecture to implement custom logic. Column and row interconnects

More information

4. Selectable I/O Standards in Stratix & Stratix GX Devices

4. Selectable I/O Standards in Stratix & Stratix GX Devices 4. Selectable I/O Standards in Stratix & Stratix GX Devices S52004-3.4 Introduction The proliferation of I/O standards and the need for higher I/O performance have made it critical that devices have flexible

More information

FPGAs Provide Reconfigurable DSP Solutions

FPGAs Provide Reconfigurable DSP Solutions FPGAs Provide Reconfigurable DSP Solutions Razak Mohammedali Product Marketing Engineer Altera Corporation DSP processors are widely used for implementing many DSP applications. Although DSP processors

More information

1. Stratix IV Device Family Overview

1. Stratix IV Device Family Overview 1. Stratix IV Device Family Overview SIV51001-3.0 Altera Stratix IV FPGAs deliver a breakthrough level of system bandwidth and power efficiency for high-end applications, allowing you to innovate without

More information

Technology Roadmap 2002

Technology Roadmap 2002 2002 Technology Roadmap Agenda Investing in Our Future Advanced Process Technology Rising Costs of ASIC Development Core Technology Improvements Product Family Roadmaps Development Tools Programmable Systems

More information

8. Selectable I/O Standards in Arria GX Devices

8. Selectable I/O Standards in Arria GX Devices 8. Selectable I/O Standards in Arria GX Devices AGX52008-1.2 Introduction This chapter provides guidelines for using industry I/O standards in Arria GX devices, including: I/O features I/O standards External

More information

4. Selectable I/O Standards in Stratix II and Stratix II GX Devices

4. Selectable I/O Standards in Stratix II and Stratix II GX Devices 4. Selectable I/O Standards in Stratix II and Stratix II GX Devices SII52004-4.6 Introduction This chapter provides guidelines for using industry I/O standards in Stratix II and Stratix II GX devices,

More information

5. High-Speed Differential I/O Interfaces in Stratix Devices

5. High-Speed Differential I/O Interfaces in Stratix Devices 5. High-Speed Differential I/O Interfaces in Stratix Devices S52005-3.2 Introduction To achieve high data transfer rates, Stratix devices support True- LVDS TM differential I/O interfaces which have dedicated

More information

Qsys and IP Core Integration

Qsys and IP Core Integration Qsys and IP Core Integration Stephen A. Edwards (after David Lariviere) Columbia University Spring 2016 IP Cores Altera s IP Core Integration Tools Connecting IP Cores IP Cores Cyclone V SoC: A Mix of

More information

Interfacing RLDRAM II with Stratix II, Stratix,& Stratix GX Devices

Interfacing RLDRAM II with Stratix II, Stratix,& Stratix GX Devices Interfacing RLDRAM II with Stratix II, Stratix,& Stratix GX Devices November 2005, ver. 3.1 Application Note 325 Introduction Reduced latency DRAM II (RLDRAM II) is a DRAM-based point-to-point memory device

More information

Altera Product Catalog

Altera Product Catalog Altera Product Catalog The Programmable Solutions Company Innovate, differentiate, and win At Altera, we ve been promoting innovation yours and ours ever since we invented the world s first programmable

More information

Section I. Cyclone FPGA Family Data Sheet

Section I. Cyclone FPGA Family Data Sheet Section I. Cyclone FPGA Family Data Sheet This section provides designers with the data sheet specifications for Cyclone devices. The chapters contain feature definitions of the internal architecture,

More information

DDR and DDR2 SDRAM Controller Compiler User Guide

DDR and DDR2 SDRAM Controller Compiler User Guide DDR and DDR2 SDRAM Controller Compiler User Guide 101 Innovation Drive San Jose, CA 95134 www.altera.com Operations Part Number Compiler Version: 8.1 Document Date: November 2008 Copyright 2008 Altera

More information

Using High-Speed Differential I/O Interfaces

Using High-Speed Differential I/O Interfaces Using High-Speed Differential I/O Interfaces in Stratix Devices December 2002, ver. 2.0 Application Note 202 Introduction Preliminary Information To achieve high data transfer rates, Stratix TM devices

More information

Stratix GX. Introduction. Features... FPGA Family. Preliminary Information

Stratix GX. Introduction. Features... FPGA Family. Preliminary Information Stratix GX FPGA Family November 2002, ver. 1.0 Data Sheet Introduction Preliminary Information The Stratix TM GX family of devices is Altera s second FPGA family to combine high-speed serial transceivers

More information

Stratix vs. Virtex-II Pro FPGA Performance Analysis

Stratix vs. Virtex-II Pro FPGA Performance Analysis White Paper Stratix vs. Virtex-II Pro FPGA Performance Analysis The Stratix TM and Stratix II architecture provides outstanding performance for the high performance design segment, providing clear performance

More information

MAX 10 FPGA Device Overview

MAX 10 FPGA Device Overview 2016.05.02 M10-OVERVIEW Subscribe MAX 10 devices are single-chip, non-volatile low-cost programmable logic devices (PLDs) to integrate the optimal set of system components. The highlights of the MAX 10

More information

FFT MegaCore Function User Guide

FFT MegaCore Function User Guide FFT MegaCore Function User Guide 101 Innovation Drive San Jose, CA 95134 www.altera.com MegaCore Version: 11.0 Document Date: May 2011 Copyright 2011 Altera Corporation. All rights reserved. Altera, The

More information

Low Power Design Techniques

Low Power Design Techniques Low Power Design Techniques August 2005, ver 1.0 Application Note 401 Introduction This application note provides low-power logic design techniques for Stratix II and Cyclone II devices. These devices

More information

One Size Does Not Fit All

One Size Does Not Fit All One Size Does Not Fit All What do you do when system performance demands threaten to increase power consumption and cost? What happens if you ve got the power you need but not the performance? Wouldn t

More information

1. Overview for the Arria II Device Family

1. Overview for the Arria II Device Family 1. Overview for the Arria II Device Family July 2012 AIIGX51001-4.4 AIIGX51001-4.4 The Arria II device family is designed specifically for ease-of-use. The cost-optimized, 40-nm device family architecture

More information

3. Mapping Stratix III Device Resources to HardCopy III Devices

3. Mapping Stratix III Device Resources to HardCopy III Devices 3. Mapping Resources to s HIII52003-2.0 Introduction This chapter discusses the available options for mapping from a Stratix III device to a HardCopy III device. ASICs have companion device support in

More information

Using Flexible-LVDS I/O Pins in

Using Flexible-LVDS I/O Pins in Using Flexible-LVDS I/O Pins in APEX II Devices August 2002, ver. 1.1 Application Note 167 Introduction Recent expansion in the telecommunications market and growth in Internet use have created a demand

More information

Section I. Cyclone FPGA Family Data Sheet

Section I. Cyclone FPGA Family Data Sheet Section I. Cyclone FPGA Family Data Sheet This section provides designers with the data sheet specifications for Cyclone devices. The chapters contain feature definitions of the internal architecture,

More information

HardCopy III Device Handbook, Volume 1

HardCopy III Device Handbook, Volume 1 HardCopy III Device Handbook, Volume 1 101 Innovation Drive San Jose, CA 95134 www.altera.com SIV5V1-1.0 Copyright 2008 Altera Corporation. All rights reserved. Altera, The Programmable Solutions Company,

More information

1. Cyclone IV FPGA Device Family Overview

1. Cyclone IV FPGA Device Family Overview May 2013 CYIV-51001-1.8 1. Cyclone IV FPGA Device Family Overview CYIV-51001-1.8 Altera s new Cyclone IV FPGA device amily extends the Cyclone FPGA series leadership in providing the market s lowest-cost,

More information

MAX 10 FPGA Device Overview

MAX 10 FPGA Device Overview 2014.09.22 M10-OVERVIEW Subscribe MAX 10 devices are the industry s first single chip, non-volatile programmable logic devices (PLDs) to integrate the optimal set of system components. The following lists

More information

Time-to-Market. Success. High Capacity. Integration. High Performance. Seamless

Time-to-Market. Success. High Capacity. Integration. High Performance. Seamless P R O G R A M M A B L E L O G I C S O L U T I O N S Time-to-Market Success High Performance High Capacity Seamless Integration Altera Delivers Programmable Logic Solutions Companies that can deliver new

More information

Axcelerator Family FPGAs

Axcelerator Family FPGAs Product Brief Axcelerator Family FPGAs u e Leading-Edge Performance 350+ MHz System Performance 500+ MHz Internal Performance High-Performance Embedded s 700 Mb/s LVDS Capable I/Os Specifications Up to

More information

Design Guidelines for Optimal Results in High-Density FPGAs

Design Guidelines for Optimal Results in High-Density FPGAs White Paper Introduction Design Guidelines for Optimal Results in High-Density FPGAs Today s FPGA applications are approaching the complexity and performance requirements of ASICs. In some cases, FPGAs

More information

6. I/O Features in Stratix IV Devices

6. I/O Features in Stratix IV Devices 6. I/O Features in Stratix IV Devices September 2012 SIV51006-3.4 SIV51006-3.4 This chapter describes how Stratix IV devices provide I/O capabilities that allow you to work in compliance with current and

More information

ispxpld TM 5000MX Family White Paper

ispxpld TM 5000MX Family White Paper ispxpld TM 5000MX Family White Paper October 2002 Overview The two largest segments of the high density programmable logic market have traditionally been nonvolatile, Complex Programmable Logic Devices

More information

Intel MAX 10 FPGA Device Overview

Intel MAX 10 FPGA Device Overview Intel MAX 10 FPGA Device Overview Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents...3 Key Advantages of Intel MAX 10 Devices... 3 Summary of Intel MAX 10 Device Features...

More information

Power Optimization in FPGA Designs

Power Optimization in FPGA Designs Mouzam Khan Altera Corporation mkhan@altera.com ABSTRACT IC designers today are facing continuous challenges in balancing design performance and power consumption. This task is becoming more critical as

More information

December 2002, ver. 1.1 Application Note For more information on the CDR mode of the HSDI block, refer to AN 130: CDR in Mercury Devices.

December 2002, ver. 1.1 Application Note For more information on the CDR mode of the HSDI block, refer to AN 130: CDR in Mercury Devices. Using HSDI in Source- Synchronous Mode in Mercury Devices December 2002, ver. 1.1 Application Note 159 Introduction High-speed serial data transmission has gained increasing popularity in the data communications

More information

Programmable Logic. Any other approaches?

Programmable Logic. Any other approaches? Programmable Logic So far, have only talked about PALs (see 22V10 figure next page). What is the next step in the evolution of PLDs? More gates! How do we get more gates? We could put several PALs on one

More information

White Paper Low-Cost FPGA Solution for PCI Express Implementation

White Paper Low-Cost FPGA Solution for PCI Express Implementation White Paper Introduction PCI Express is rapidly establishing itself as the successor to PCI, providing higher performance, increased flexibility, and scalability for next-generation systems, as well as

More information

Using Flexible-LVDS Circuitry in Mercury Devices

Using Flexible-LVDS Circuitry in Mercury Devices Using Flexible-LVDS Circuitry in Mercury Devices November 2002, ver. 1.1 Application Note 186 Introduction With the ever increasing demand for high bandwidth and low power consumption in the telecommunications

More information

Section I. Cyclone FPGA Family Data Sheet

Section I. Cyclone FPGA Family Data Sheet Section I. Cyclone FPGA Family Data Sheet This section provides designers with the data sheet specifications for Cyclone devices. The chapters contain feature definitions of the internal architecture,

More information

Stratix II Device Handbook, Volume 1

Stratix II Device Handbook, Volume 1 Stratix II Device Handbook, Volume 1 101 Innovation Drive San Jose, CA 95134 (408) 544-7000 http://www.altera.com Preliminary Information SII5V1-2.1 Copyright 2005 Altera Corporation. All rights reserved.

More information

Implementing FFT in an FPGA Co-Processor

Implementing FFT in an FPGA Co-Processor Implementing FFT in an FPGA Co-Processor Sheac Yee Lim Altera Corporation 101 Innovation Drive San Jose, CA 95134 (408) 544-7000 sylim@altera.com Andrew Crosland Altera Europe Holmers Farm Way High Wycombe,

More information

System-on-a-Programmable-Chip (SOPC) Development Board

System-on-a-Programmable-Chip (SOPC) Development Board System-on-a-Programmable-Chip (SOPC) Development Board Solution Brief 47 March 2000, ver. 1 Target Applications: Embedded microprocessor-based solutions Family: APEX TM 20K Ordering Code: SOPC-BOARD/A4E

More information

Ethernet Switch. WAN Gateway. Figure 1: Switched LAN Example

Ethernet Switch. WAN Gateway. Figure 1: Switched LAN Example 1 Introduction An Ethernet switch is used to interconnect a number of Ethernet LANs (Local Area Networks), forming a large Ethernet network. Different ports of the switch are connected to different LAN

More information

1. Overview for the Arria V Device Family

1. Overview for the Arria V Device Family 1. Overview for the Arria V Device Family December 2011 AV51001-1.2 AV51001-1.2 Built on the 28-nm low-power process technology, Arria V devices offer the lowest power and lowest system cost for mainstream

More information

Excalibur Device Overview

Excalibur Device Overview May 2002, ver. 2.0 Data Sheet Features... Combination of a world-class RISC processor system with industryleading programmable logic on a single device Industry-standard ARM922T 32-bit RISC processor core

More information

LatticeSCM SPI4.2 Interoperability with PMC-Sierra PM3388

LatticeSCM SPI4.2 Interoperability with PMC-Sierra PM3388 August 2006 Technical Note TN1121 Introduction The System Packet Interface, Level 4, Phase 2 (SPI4.2) is a system level interface, published in 2001 by the Optical Internetworking Forum (OIF), for packet

More information

Implementing Double Data Rate I/O Signaling in Stratix & Stratix GX Devices. Introduction. DDR I/O Elements. Input Configuration

Implementing Double Data Rate I/O Signaling in Stratix & Stratix GX Devices. Introduction. DDR I/O Elements. Input Configuration Implementing Double Data Rate I/O Signaling in Stratix & Stratix GX Devices November 2002, ver. 2.0 Application Note 212 Introduction Typical I/O architectures transmit a single data word on each positive

More information

Stratix II FPGA Family

Stratix II FPGA Family October 2008, ver. 2.1 Errata Sheet Introduction This errata sheet provides updated information on Stratix II devices. This document addresses known device issues and includes methods to work around the

More information

Practical Hardware Debugging: Quick Notes On How to Simulate Altera s Nios II Multiprocessor Systems Using Mentor Graphics ModelSim

Practical Hardware Debugging: Quick Notes On How to Simulate Altera s Nios II Multiprocessor Systems Using Mentor Graphics ModelSim Practical Hardware Debugging: Quick Notes On How to Simulate Altera s Nios II Multiprocessor Systems Using Mentor Graphics ModelSim Ray Duran Staff Design Specialist FAE, Altera Corporation 408-544-7937

More information

White Paper Taking Advantage of Advances in FPGA Floating-Point IP Cores

White Paper Taking Advantage of Advances in FPGA Floating-Point IP Cores White Paper Recently available FPGA design tools and IP provide a substantial reduction in computational resources, as well as greatly easing the implementation effort in a floating-point datapath. Moreover,

More information

SERDES Transmitter/Receiver (ALTLVDS) Megafunction User Guide

SERDES Transmitter/Receiver (ALTLVDS) Megafunction User Guide SERDES Transmitter/Receiver (ALTLVDS) Megafunction User Guide 101 Innovation Drive San Jose, CA 95134 www.altera.com Software Version: 8.1 Document Version: 4.0 Document Date: November 2008 UG-MF9504-4.0

More information

Designing Embedded Processors in FPGAs

Designing Embedded Processors in FPGAs Designing Embedded Processors in FPGAs 2002 Agenda Industrial Control Systems Concept Implementation Summary & Conclusions Industrial Control Systems Typically Low Volume Many Variations Required High

More information

ALTERA FPGAs Architecture & Design

ALTERA FPGAs Architecture & Design ALTERA FPGAs Architecture & Design Course Description This course provides all theoretical and practical know-how to design programmable devices of ALTERA with QUARTUS-II design software. The course combines

More information

Stratix II vs. Virtex-4 Performance Comparison

Stratix II vs. Virtex-4 Performance Comparison White Paper Stratix II vs. Virtex-4 Performance Comparison Altera Stratix II devices use a new and innovative logic structure called the adaptive logic module () to make Stratix II devices the industry

More information

Stratix II Device Handbook, Volume 1

Stratix II Device Handbook, Volume 1 Stratix II Device Handbook, Volume 1 101 Innovation Drive San Jose, CA 95134 www.altera.com SII5V1-4.5 Copyright 2011 Altera Corporation. All rights reserved. Altera, The Programmable Solutions Company,

More information

4. TriMatrix Embedded Memory Blocks in HardCopy IV Devices

4. TriMatrix Embedded Memory Blocks in HardCopy IV Devices January 2011 HIV51004-2.2 4. TriMatrix Embedded Memory Blocks in HardCopy IV Devices HIV51004-2.2 This chapter describes TriMatrix memory blocks, modes, features, and design considerations in HardCopy

More information

Cyclone II Device Handbook, Volume 1

Cyclone II Device Handbook, Volume 1 Cyclone II Device Handbook, Volume 1 101 Innovation Drive San Jose, CA 95134 (408) 544-7000 http://www.altera.com CII5V1-3.1 Copyright 2007 Altera Corporation. All rights reserved. Altera, The Programmable

More information

Signal Integrity Comparisons Between Stratix II and Virtex-4 FPGAs

Signal Integrity Comparisons Between Stratix II and Virtex-4 FPGAs White Paper Introduction Signal Integrity Comparisons Between Stratix II and Virtex-4 FPGAs Signal integrity has become a critical issue in the design of high-speed systems. Poor signal integrity can mean

More information

ARM-Based Embedded Processor Device Overview

ARM-Based Embedded Processor Device Overview ARM-Based Embedded Processor Device Overview February 2001, ver. 1.2 Data Sheet Features... Industry-standard ARM922T 32-bit RISC processor core operating at up to 200 MHz, equivalent to 210 Dhrystone

More information

Section I. Stratix II GX Device Data Sheet

Section I. Stratix II GX Device Data Sheet Section I. Stratix II GX Device Data Sheet This section provides designers with the data sheet specifications for Stratix II GX devices. They contain feature definitions of the transceivers, internal architecture,

More information

FPGA Co-Processing Architectures for Video Compression

FPGA Co-Processing Architectures for Video Compression Co-Processing Architectures for Compression Overview Alex Soohoo Altera Corporation 101 Innovation Drive San Jose, CA 95054, USA (408) 544-8063 asoohoo@altera.com The push to roll out high definition video

More information

6. I/O Features for HardCopy IV Devices

6. I/O Features for HardCopy IV Devices 6. I/O Features for HardCopy IV Devices March 2012 HIV51006-2.3 HIV51006-2.3 This chapter describes the I/O standards, features, termination schemes, and performance supported in HardCopy IV devices. All

More information

Implementing LVDS in Cyclone Devices

Implementing LVDS in Cyclone Devices Implementing LVDS in Cyclone Devices March 2003, ver. 1.1 Application Note 254 Introduction Preliminary Information From high-speed backplane applications to high-end switch boxes, LVDS is the technology

More information

2. Stratix II Architecture

2. Stratix II Architecture 2. Stratix II Architecture SII51002-4.3 Functional Description Stratix II devices contain a two-dimensional row- and column-based architecture to implement custom logic. A series of column and row interconnects

More information

Using I/O Standards in the Quartus Software

Using I/O Standards in the Quartus Software White Paper Using I/O Standards in the Quartus Software This document shows how to implement and view the selectable I/O standards for APEX TM 20KE devices in the Quartus TM software and give placement

More information

Fujitsu SOC Fujitsu Microelectronics America, Inc.

Fujitsu SOC Fujitsu Microelectronics America, Inc. Fujitsu SOC 1 Overview Fujitsu SOC The Fujitsu Advantage Fujitsu Solution Platform IPWare Library Example of SOC Engagement Model Methodology and Tools 2 SDRAM Raptor AHB IP Controller Flas h DM A Controller

More information

ispgdx2 vs. ispgdx Architecture Comparison

ispgdx2 vs. ispgdx Architecture Comparison isp2 vs. isp July 2002 Technical Note TN1035 Introduction The isp2 is the second generation of Lattice s successful isp platform. Architecture enhancements improve flexibility and integration when implementing

More information

Achieving Breakthrough Performance with Virtex-4, the World s Fastest FPGA

Achieving Breakthrough Performance with Virtex-4, the World s Fastest FPGA Achieving Breakthrough Performance with Virtex-4, the World s Fastest FPGA Xilinx 90nm Design Seminar Series: Part I Xilinx - #1 in 90 nm We Asked our Customers: What are your challenges? Shorter design

More information

On-Chip Memory Implementations

On-Chip Memory Implementations On-Chip Memory Implementations Using Cyclone Memory Blocks March 2003, ver. 1.1 Application Note 252 Introduction Cyclone devices feature embedded memory blocks that can be easily configured to support

More information

DDR & DDR2 SDRAM Controller Compiler

DDR & DDR2 SDRAM Controller Compiler DDR & DDR2 SDRAM Controller Compiler May 2006, Compiler Version 3.3.1 Errata Sheet This document addresses known errata and documentation issues for the DDR and DDR2 SDRAM Controller Compiler version 3.3.1.

More information

Reduce Your System Power Consumption with Altera FPGAs Altera Corporation Public

Reduce Your System Power Consumption with Altera FPGAs Altera Corporation Public Reduce Your System Power Consumption with Altera FPGAs Agenda Benefits of lower power in systems Stratix III power technology Cyclone III power Quartus II power optimization and estimation tools Summary

More information

Simple Excalibur System

Simple Excalibur System Excalibur Solutions Simple Excalibur System August 2002, ver. 1.0 Application Note 242 Introduction This application note describes a simple Excalibur system design that consists of software running on

More information

altshift_taps Megafunction User Guide

altshift_taps Megafunction User Guide altshift_taps Megafunction User Guide 101 Innovation Drive San Jose, CA 95134 (408) 544-7000 www.altera.com Document Version: 1.0 Document Date: September 2004 Copyright 2004 Altera Corporation. All rights

More information

7. External Memory Interfaces in Stratix IV Devices

7. External Memory Interfaces in Stratix IV Devices February 2011 SIV51007-3.2 7. External Memory Interfaces in Stratix IV evices SIV51007-3.2 This chapter describes external memory interfaces available with the Stratix IV device family and that family

More information

White Paper Enabling Quality of Service With Customizable Traffic Managers

White Paper Enabling Quality of Service With Customizable Traffic Managers White Paper Enabling Quality of Service With Customizable Traffic s Introduction Communications networks are changing dramatically as lines blur between traditional telecom, wireless, and cable networks.

More information

Optimal Management of System Clock Networks

Optimal Management of System Clock Networks Optimal Management of System Networks 2002 Introduction System Management Is More Challenging No Longer One Synchronous per System or Card Must Design Source-Synchronous or CDR Interfaces with Multiple

More information

Using TriMatrix Embedded Memory Blocks

Using TriMatrix Embedded Memory Blocks Using TriMatrix Embedded Memory Blocks in Stratix & Stratix GX evices November 2002, ver. 2.0 Application Note 203 Introduction TriMatrix Memory Stratix and Stratix GX devices feature the TriMatrix memory

More information

Packaging Solutions. Advanced Packaging Solutions for High-Density PLDs

Packaging Solutions. Advanced Packaging Solutions for High-Density PLDs Packaging Solutions Advanced Packaging Solutions for High-Density PLDs June 1998 package options pin compatibility design flexibility Advanced Packaging Solutions FineLine BGA vertical migration space

More information

Intel Stratix 10 General Purpose I/O User Guide

Intel Stratix 10 General Purpose I/O User Guide Intel Stratix 10 General Purpose I/O User Guide Updated for Intel Quartus Prime Design Suite: 17.1 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1 Intel Stratix 10 I/O

More information

AN 610: Implementing Deterministic Latency for CPRI and OBSAI Protocols in Altera Devices

AN 610: Implementing Deterministic Latency for CPRI and OBSAI Protocols in Altera Devices AN 610: Implementing Deterministic Latency for CPRI and OBSAI Protocols in Altera Devices July 2010 AN-610-1.0 This application note describes how to implement deterministic latency for Common Public Radio

More information

Nios II Embedded Design Suite 6.1 Release Notes

Nios II Embedded Design Suite 6.1 Release Notes December 2006, Version 6.1 Release Notes This document lists the release notes for the Nios II Embedded Design Suite (EDS) version 6.1. Table of Contents: New Features & Enhancements...2 Device & Host

More information

The S6000 Family of Processors

The S6000 Family of Processors The S6000 Family of Processors Today s Design Challenges The advent of software configurable processors In recent years, the widespread adoption of digital technologies has revolutionized the way in which

More information