COM A. Brown, J. Byrne, S. Bruederle, J. Donovan, P. O'Donovan, M. Williams

Similar documents
4Q03 Update: Wireless Communications Semiconductor Forecast,

Semiconductor Market for Data Processing: Asia/Pacific, 3Q03

4Q02 Update: Disk Storage Forecast Scenarios,

SOHO and Residential Routers: Worldwide Market Share and Forecast, (Executive Summary) Executive Summary

Production Surge Boosts Automotive Semiconductor Market

Firewall and IP Virtual Private Network Equipment: Worldwide, 2002 (Executive Summary) Executive Summary

Mobile Terminals: Western Europe, (Executive Summary) Executive Summary

DBMS Software Market Forecast, (Executive Summary) Executive Summary

IT Services: Identifying the Addressable Markets for Telecom Operators (Executive Summary) Executive Summary

Mobile Phones, Poor Economy to Dampen PDA Market to 2007

IP Backbone Opportunities in Asia/Pacific (Executive Summary) Executive Summary

Host-Bus RAID Controller Worldwide Market Share, 2002 (Executive Summary) Executive Summary

Hardware Decisions for Embedded Systems Design in Asia/Pacific

China: User Perceptions and Plans for PCs and PDAs in 2003

Business update: Automotive

NGN: Enterprise IP Telephony

Predicts 2004: The Future of Windows Server

Fixed Public Services Trends in CEE and MEA, (Executive Summary) Executive Summary

Enabling a world without wires

COM F. Troni, L. Fiering

Worldwide Workstation Shipments Rebound in Third Quarter

Trends in Fixed Public Network Services: Austria, (Executive Summary) Executive Summary

Mesh Networking Principles

HDD Head and Media Markets Show Variable Growth and Decline, (Executive Summary) Executive Summary

External RAID-Based Storage System Analysis by Form Factor

CIO Update: Security Platforms Will Transform the Network Security Arena

Huawei: China's Leading Equipment Vendor Returns to Growth

DISRUPTIVE TECHNOLOGIES IN THE DATACENTER

Worldwide 2002 Security Software Market and Vendor Shares (Executive Summary) Executive Summary

Mobile Terminals: Middle East, (Executive Summary) Executive Summary

2018 Trends in Hosting & Cloud Managed Services

Wireless Local Loop: Cellular in Waiting? (Executive Summary) Executive Summary

Performance/Throughput

Midsize Business Voice Service Spending Steady for 2003

Investor Relations Presentation

Leased-Line Market Thrives in Asia/ Pacific Despite Bandwidth Glut (Executive Summary) Executive Summary

Action Recommendation for 2004

COM I. Keene, B. Hafner

Europe Wants Security Software, Despite Tight Budgets (Executive Summary) Executive Summary

Final 2002 Asia/Pacific Semiconductor Market Share by Geographical Area (Executive Summary) Executive Summary

Web Services Take Root in Banks and With Asset Managers

ON World Inc. Emerald Plaza Center 402 West Broadway Suite 400 San Diego, California

Global Telecommunications Market Take, 1Q03 (Executive Summary) Executive Summary

IT Services' IP Telephony-Related Growth Remains Strong Through 2007 (Executive Summary) Executive Summary

Market Scope. Magic Quadrant Methodology

4Q03 Update: Data Processing Semiconductor Forecast,

Finding Pure-Play Midtier ESPs: A Two-Step Process

Datacenter Cooling Market Map 2016

AIOs Displace Single-Function Printers in Latin America

TCPN-WW-CV-0102 Frank Fabricius

Sizzles and Fizzles in the Server Forecast

Vertical Market Trends: Western Europe, (Executive Summary) Executive Summary

Central and Eastern Europe: Premises Switching Equipment Market Share, 2002 (Executive Summary) Executive Summary

Can you wait until 2010?

INSPIRING IOT INNOVATION: MARKET EVOLUTION TO REMOVE BARRIERS. Mark Chen Taiwan Country Manager, Senior Director, Sales of Broadcom

NGN: The Evolution of Wireless Networks

Cloudbooks: Market Analysis and Forecasts

2017 Trends in Datacenter and Critical Infrastructure

Management Update: Storage Management TCO Considerations

Survey of Mobile Phone Users in Poland and Russia, 2003 (Executive Summary) Executive Summary

Management Update: Wireless LAN Predictions for 2004

Agenda. Introduction Market trend and application 1394 Market Analysis Data 1394 and industry Applications. Other Technologies USB DVI

Trends in Fixed Public Network Services: Finland, (Executive Summary) Executive Summary

Current and Next-Generation Switching in Asia/Pacific and Japan, 2003 (Executive Summary) Executive Summary

Worldwide Memory Forecast, 1Q03 (Executive Summary) Executive Summary

4Q03 Update: Wired Communications Semiconductor Forecast,

Vendor Ratings, VDR Paul Dittner, Stanley Bruederle

HDMI 1.3 Position Paper

Managing the downturn, Ready for the Upswing

ALL SIGNALS ARE BORN AS STRAIGHT AND SIMPLE AS RAW SPAGHETTI. BUT THEY ENCOUNTER MANY OBSTACLES ON THE WAY TO THEIR FINAL DESTINATION.

Choices Multiply in Midrange and High-End Color Printing

FICON Drives Fibre Channel Security

Driving Semiconductor Industry Optimization From. Walden C. Rhines. CHAIRMAN & CEO Mentor Graphics Corporation

1. INTRODUCTION 2. OVERVIEW

NGN: Carriers and Vendors Must Take Security Seriously

Spending on Service Provider Routers Begins to Grow in EMEA

NetIQ's VoIP Management Products

Push-to-Talk Brings Voice-Based Instant Messaging to Europe

YE02 Semiconductor Manufacturing Market: Foundry Services (Executive Summary) Executive Summary

Gartner Client Operating Systems Surveys and Polls: Enterprises Plan Early, but Slow, Move to Windows 7

Nex t MADE IN JAPAN. Copyright 2003 Hitachi Global Storage Technologies

2002 Semiconductor Equipment Market Share Analysis (Executive Summary) Executive Summary

Trends in Fixed Public Network Services: Germany, (Executive Summary) Executive Summary

SONET Links Extend Fibre Channel SANs

Prediction: Multimodal transaction processing will emerge

DRAM Forecast Outlook, 3Q03: Price Rises Force Forecast Up

Worldwide Memory Forecast, 4Q03 (Executive Summary) Executive Summary

User Survey Analysis: Next Steps for Server Virtualization in the Midmarket

EUROPEAN COMMISSION ENTERPRISE AND INDUSTRY DIRECTORATE-GENERAL

CommScope Multi-Tenant Data Center Solutions: A solid advantage from the critical infrastructure experts. Data Center Solutions

Leveraging China's Memory Market Opportunity

Connected & Smart Home Research Package

Ending the Confusion About Software- Defined Networking: A Taxonomy

Silicon Labs Corporate Overview

COM W. Clark. Nokia has not been idle in addressing the business market. It has sold the following to enterprises:

Enterprise Data Architecture: Why, What and How

Dallas EXECUTIVE OVERVIEW. Multi-Tenant Datacenter Market MARKET FORECAST JUL Stefanie Williams, Associate Analyst, Multi-Tenant Datacenters

Delivering in Consumer. Leon Husson Executive Vice President Consumer Businesses Semiconductor Division

Technology for Innovators TM TI WIRELESS TECHNOLOGY DELIVERING ALL THE PROMISE OF 3G

Public Infrastructure: What s in Store for 2003?

Transcription:

A. Brown, J. Byrne, S. Bruederle, J. Donovan, P. O'Donovan, M. Williams Research Note 16 December 2003 Commentary New Technologies Will Boost the Semiconductor Market in 2004 Chip vendors must prepare for big changes and new opportunities in areas like disk drives and TVs, in-car networks and tire pressure monitoring systems. These will boost demand for semiconductors during the next few years. The growth of electronic equipment in many segments is still recovering from a poor economic climate and the perceived lack of an innovative application on the market. The demand for end-user equipment is likely to increase in 2004, as a result of expected improvements in economic factors and new products that will bring benefits in terms of their cost and function. The semiconductor applications market is made up of the separate automotive, consumer, electronic data processing (EDP) and communications electronic equipment segments. For each segment, the prediction for 2004 is outlined and is followed by corresponding recommendations. These recommendations will help semiconductor vendors to deal with any opportunities or threats. Tire Pressure Monitoring Systems New rules on tire pressure monitoring will affect vehicles made and sold in the United States in 2004. This is also likely to affect all vehicles exported to the United States. The U.S. National Highway Traffic Safety Administration has ruled that a tire pressure monitoring system (TPMS) must be installed, as mandated by the Transportation Recall Enhancement, Accountability, and Documentation (TREAD) Act of 2000. This warns the driver when a tire is under-inflated. The rule applies to cars, trucks, multipurpose passenger vehicles, and buses with a gross vehicle weight rating of 10,000 pounds or less, except those vehicles with dual wheels on an axle. The legislation is disruptive and vendors of remote keyless entry systems (RKE) and TPMS will experience some fundamental changes to specifications during the year. Prediction: By 2010, 80 percent of all new vehicles worldwide will be fitted with a TPMS (0.8 probability). Gartner 2003 Gartner, Inc. and/or its Affiliates. All Rights Reserved. Reproduction of this publication in any form without prior written permission is forbidden. The information contained herein has been obtained from sources believed to be reliable. Gartner disclaims all warranties as to the accuracy, completeness or adequacy of such information. Gartner shall have no liability for errors, omissions or inadequacies in the information contained herein or for interpretations thereof. The reader assumes sole responsibility for the selection of these materials to achieve its intended results. The opinions expressed herein are subject to change without notice.

Consider cost-effective methods for implementing solutions to TPMS. One approach is to use the established RKE system as the receiver for the tire transmitter. This solution would utilize a low-cost microcontroller and a digital interface for connection to a local interconnect network (LIN) bus. Semiconductor vendors of RKE systems must develop solutions that will easily integrate the radio frequency (RF) signal processing of TPMSs. This becomes more important as the proportion of TPMS rises from 10 percent in 2003 to 35 percent of all new vehicles sold or manufactured in the United States during 2004. Semiconductor vendors targeting this market should be wary of impending changes to the second phase of the TREAD Act. They must also be ready for revisions to the TREAD Act in 2006. This amendment may call for more complex sensors that will detect and warn of variations in tire pressure at different stages of deflation. Automotive Networking for Audiovisual New car system designs will incorporate optical networking and wireless connectivity technologies. However the inherently weak diagnostics capabilities of plastic optical fiber (POF) harnesses will cause many automotive manufacturers to revise their strategy for the media oriented systems transport (MOST) networking technology POF is not an asynchronous communications bus. In the medium term, POF may be replaced by an alternative wiring harness (possibly copper). Prediction: In 2004, the adoption rate of MOST (with optical fiber) as a networking technology for automotive audiovisual (AV) applications will slow down (0.8 probability). Prediction: By 2006, MOST will emerge as the dominant networking technology for automotive AV applications (0.8 probability). Be aware that automotive manufacturers are planning designs using networking and wireless connectivity technologies. Offer support for these technologies through reference designs and applications support. Robust software, hardware and support levels will be key criteria for automotive manufacturers choosing semiconductor suppliers. Be aware that the introduction of a copper network system may be incompatible with high-speed digital communications because of electromagnetic interference. Ensure that products for the automotive market will be viable and available for at least 10 years to match the life cycles of automobiles and to fulfill demand for spare parts. 16 December 2003 2

Storage Serial ATA There are normally several hard disk drive interface technologies on the market. However, there is generally a single standard that dominates the market because of shipments for mainstream desktop and mobile applications. In 2003, the Parallel ATA interface held the most market share. But there will be a rapid shift to the Serial ATA interface in 2004, with roughly equal numbers of Parallel ATA drives and Serial ATA drives shipped. Serial ATA will have a lesser impact in high-end applications for redundant arrays of independent disks (RAID) and just a bunch of drives (JBOD). The markets for both hard disk drive silicon and the drives themselves are highly concentrated. Four vendors hold 85 percent of the disk drive manufacturing market: Seagate Technology, Maxtor, Western Digital, and Hitachi Global Storage Technologies. This market structure is expected to continue for the next few years, with individual silicon vendors closely aligned with particular manufacturers. Prediction: By 2005, the Serial ATA will be the interface standard with the highest volume shipments in the rigid disk drive market (0.9 probability). Gartner expects a number of vendors to exit this part of the market and recommends that: Vendors that have not already come to market with a Serial ATA solution should leave the market, as the opportunities have now gone. Vendors already in the market must push for higher integration for cost competitiveness in 2004 and beyond. PC and Wireless LANs Intel delayed launching 802.11a and 802.11g adapters. This makes 2004 an excellent opportunity for wireless LAN (WLAN) integrated circuit (IC) suppliers to build relationships and win design-ins with PC suppliers. Despite Intel s market influence, PC suppliers need additional suppliers of WLAN technology, especially suppliers with advanced technology. Prediction: WLAN PC adapters will outsell all other WLAN applications through 2007 (0.8 probability). Semiconductor vendors supplying WLAN semiconductor devices should actively pursue the PC market to gain market share despite Intel s strength in this area. This will benefit them because economies of scale will bring lower manufacturing costs PC Architecture The architecture of the majority of motherboards for desktop PCs changed in 2003. Fast serial technology is being deployed on the motherboard with PCI Express ports being used as a replacement for the parallel PCI bus slots. This is similar to the Serial ATA interface, and it will serve as a replacement for the Parallel ATA interface for disk drives. It will be important to ensure design-ins in 2004 for subsequent production. 16 December 2003 3

Prediction: In 2005, more than 70 percent of desktop PCs will ship with PCI Express ports on the motherboard (0.7 probability). Semiconductor vendors supplying semiconductors for gigabit and faster PC add-in cards and motherboard-based peripherals should offer variants supporting PCI Express 1x or 16x during the first half of 2004. Mobile Communications Infrastructure Digital signal processors (DSPs) provide increased processing power by brute force, such as increasing the clock speed and using accelerators and coprocessors for the added million instructions per second (MIPS) required for more complex algorithms needed for next-generation mobile communications technologies. In the next few years, the power needed to provide the necessary processing power will drive designers to consider alternative architectures for multimode base stations. In 2004, reconfigurable processors will start being seriously considered as the next solution for next-generation mobile base stations. Prediction: By 2008, 50 percent of mobile base stations will incorporate reconfigurable processor technology for baseband processing (0.6 probability). Semiconductor companies are starting to announce mobile infrastructure products that are based on reconfigurable architectures. Current products are designed for system trials and verification. At least one more round of development and testing is needed before the widespread use of reconfigurable architectures in mobile infrastructure equipment. At this stage, semiconductor companies supplying products for baseband processors in mobile base stations should: Assess the capabilities of the technology being developed and compare them with the promise of reconfigurable processing solutions in three years. If they don t match up, it will be necessary to consider alternatives for providing competitive baseband solutions. Consider acquiring or investing in a startup company that has expertise in reconfigurable processors. Consider partnering with an established company that has expertise in reconfigurable processor expertise to assess the technology s capabilities and determine how to include reconfigurable processing in your strategy. Mobile Phone Handsets The smartphone market will continue to be a small proportion of the total mobile phone market. This comprises devices based on operating systems like Symbian, Microsoft, Palm OS and Linux. With the total mobile terminals market expected to reach sales of 511 million units in 2004, Gartner estimates that 20 million of these will be smartphones. The relatively small number of smartphones will have an impact on the associated market for applications and media processors. However, there may be some phones in the enhanced category that have these processors. 16 December 2003 4

Prediction: Despite the hype of high production of units, smartphones will reach only 5 percent of the worldwide mobile terminal market in 2004 (0.7 probability) Semiconductor vendors designing and producing mobile and applications media processors should: Note that the increase in demand for smartphones and high-end enhanced phones is only a relatively small proportion of the market and plan appropriately. Ensure that the design of their processors has flexibility to interface with many standards. Be aware of, and plan for, the commoditization of components, including the move to standards as evidenced by the setting up of MIPI and OMA. Consumer DVD Recorders The uptake of DVD recorders will slow in the mass market after initial early adopter sales because of competition from a variety of established and new products and confusion over disc formats. DVD recorders are facing competition from DVD R/RW drives for the PC, hard disk drive-based video recorders, low-cost VCRs and the emerging market for video-on-demand services. Added to this is a general apathy in the mass market to recording broadcast material; a clear preference for buying and renting prerecorded video content; consumer confusion about VHS copy protection (preventing transfer of VHS copyright material to DVD format); and more confusion over differing DVD formats. With these barriers to adoption, the real value proposition is unclear to the mass market. Gartner Dataquest expects DVD recorder penetration to be slow during the next five years. Unless the technology becomes so inexpensive that the difference in the cost of manufacturing between DVD playback-only machines and DVD recorders becomes marginal, then all DVD players could become recorders. Prediction: By 2005, DVD recorders will have penetrated less than 2 percent (8 million) of European and U.S. households (0.9 probability). Provide devices that focus on enhancing the whole viewing experience of consumer digital video content. The consumer market is clearly more focused on buying and renting prerecorded video material. Continue to develop low-cost playback-only chipsets for the high-volume low-end DVD player market. Regard DVD recording as being the domain of the PC. DVD recorders should not be seen as a natural product progression from VCRs. Consumer Display Technology Rear-projection TVs based on digital light processing (DLP) and liquid crystal on silicon (LCOS) technologies offer consumers significant space saving over traditional cathode ray tube (CRT) and liquid crystal display (LCD) rear-projection offerings with superior uniform brightness and picture quality. These 16 December 2003 5

big-screen TVs are also cheaper than plasma and large LCD flat-panel displays, which will help these technologies penetrate the market quickly. The growth of high-definition digital TV systems in the United States is a result of low-cost big-screen displays offering superior performance and low-cost digital video playback products. The U.S. Federal Communications Commission has also mandated than TVs of 36 inches and above must have a digital TV tuner in them after 2004. This offers two significant opportunities for TV manufacturers and semiconductor vendors. DLP and LCOS devices are capable of offering high-definition digital TV systems at retail prices. Digital TVs offer a wealth of connectivity opportunities for peripheral devices such as digital still cameras, digital camcorders, flash memory card readers and other products using Universal Serial Bus (USB) connectivity. Though not all these peripheral products will be available in 2004 and 2005, manufacturers will offer some to make digital TVs more attractive in all regions. Prediction: Displays of 40 inches and more will be dominated by DLP and LCOS rear projection technologies from 2004 (0.8 probability). Develop low-cost digital TV chipsets to meet the need for lower-priced digital TVs of all screen sizes. Focus on application-specific standard products differentiated for the digital video market, particularly those for peripheral products with connectivity standards like USB 2.0 and IEEE 1394. Bottom Line: There are some exciting developments in all the main applications segments that use semiconductor devices. Prepare for the market upturn in 2004 by ensuring sufficient manufacturing capacity. Outsource if necessary. Be aware of potential equipment, component or raw material shortages and make extra provision where possible. Continue with cost-reduction plans, including increased system integration for semiconductor devices in all segments. 16 December 2003 6