C A R L E T O N U N I V E R S I T Y. FINAL EXAMINATION April Duration: 3 Hours No. of Students: 108

Similar documents
One and a half hours. Section A is COMPULSORY

EPC6055 Digital Integrated Circuits EXAM 1 Fall Semester 2013

ECE 574: Modeling and Synthesis of Digital Systems using Verilog and VHDL. Fall 2017 Final Exam (6.00 to 8.30pm) Verilog SOLUTIONS

Digital Integrated Circuits

Modeling Sequential Circuits in Verilog

Two hours - online EXAM PAPER MUST NOT BE REMOVED FROM THE EXAM ROOM UNIVERSITY OF MANCHESTER SCHOOL OF COMPUTER SCIENCE

MASSACHUSETTS INSTITUTE OF TECHNOLOGY Department of Electrical Engineering and Computer Sciences

Digital Integrated Circuits

ECE 551 Digital System Design and Synthesis. Instructor: Kewal K. Saluja. Midterm Exam

FPGA Design Challenge :Techkriti 14 Digital Design using Verilog Part 1

EECS150 - Digital Design Lecture 20 - Finite State Machines Revisited

University of Toronto Faculty of Applied Science and Engineering Edward S. Rogers Sr. Department of Electrical and Computer Engineering

ECE 4514 Digital Design II. Spring Lecture 13: Logic Synthesis

EE 231 Fall EE 231 Homework 8 Due October 20, 2010

EECS150, Fall 2004, Midterm 1, Prof. Culler. Problem 1 (15 points) 1.a. Circle the gate-level circuits that DO NOT implement a Boolean AND function.

ENGR 3410: Lab #1 MIPS 32-bit Register File

Digital Circuit Design and Language. Datapath Design. Chang, Ik Joon Kyunghee University

Midterm Exam Thursday, October 24, :00--2:15PM (75 minutes)

Digital Design & Computer Architecture (E85) D. Money Harris Fall 2007

Recommended Design Techniques for ECE241 Project Franjo Plavec Department of Electrical and Computer Engineering University of Toronto

Techniques for Digital Systems Lab. Verilog HDL. Tajana Simunic Rosing. Source: Eric Crabill, Xilinx

problem maximum score 1 10pts 2 8pts 3 10pts 4 12pts 5 7pts 6 7pts 7 7pts 8 17pts 9 22pts total 100pts

ECE 2300 Digital Logic & Computer Organization. More Finite State Machines

L5: Simple Sequential Circuits and Verilog

Digital Design with FPGAs. By Neeraj Kulkarni

University of Toronto Faculty of Applied Science and Engineering Edward S. Rogers Sr. Department of Electrical and Computer Engineering

Logic Circuits II ECE 2411 Thursday 4:45pm-7:20pm. Lecture 3

UNIVERSITY OF TORONTO FACULTY OF APPLIED SCIENCE AN:p ENGINEERING. ECE241F - Digital Syst~ms Final Examination

L5: Simple Sequential Circuits and Verilog

EE178 Lecture Verilog FSM Examples. Eric Crabill SJSU / Xilinx Fall 2007

CSE 591: Advanced Hardware Design and Verification (2012 Spring) LAB #0

RealDigital. Problem Set #7 S1 S2 S3 Y Z X Y + Y Z X Z

L5: Simple Sequential Circuits and Verilog

Finite-State Machine (FSM) Design

Last Name Student Number. Last Name Student Number

Laboratory Exercise 3

Chapter 5 Registers & Counters

Topics. Midterm Finish Chapter 7

CSE 140L Final Exam. Prof. Tajana Simunic Rosing. Spring 2008

Modeling Synchronous Logic Circuits. Debdeep Mukhopadhyay IIT Madras

University of Toronto Mississauga. Flip to the back cover and write down your name and student number.

ECE331: Hardware Organization and Design

Graduate Institute of Electronics Engineering, NTU. Lecturer: Chihhao Chao Date:

One and a half hours. Section A is COMPULSORY UNIVERSITY OF MANCHESTER SCHOOL OF COMPUTER SCIENCE

problem maximum score 1 8pts 2 6pts 3 10pts 4 15pts 5 12pts 6 10pts 7 24pts 8 16pts 9 19pts Total 120pts

Nonblocking Assignments in Verilog Synthesis; Coding Styles That Kill!

Lecture 4: Modeling in VHDL (Continued ) EE 3610 Digital Systems

L5: Simple Sequential Circuits and Verilog

Note: Closed book no notes or other material allowed, no calculators or other electronic devices.

Quick Introduction to SystemVerilog: Sequental Logic

Nikhil Gupta. FPGA Challenge Takneek 2012

Timing and Verification

Lecture 3. Behavioral Modeling Sequential Circuits. Registers Counters Finite State Machines

ARM 64-bit Register File

Verilog introduction. Embedded and Ambient Systems Lab

Synthesis of Combinational and Sequential Circuits with Verilog

Verilog Fundamentals. Shubham Singh. Junior Undergrad. Electrical Engineering

Mealy and Moore examples

DIGITAL SYSTEM DESIGN

Course Topics - Outline

Sequential Logic Design

ECEN 468 Advanced Logic Design

Last Lecture. Talked about combinational logic always statements. e.g., module ex2(input logic a, b, c, output logic f); logic t; // internal signal

ENGR 3410: MP #1 MIPS 32-bit Register File

Verilog for Synthesis Ing. Pullini Antonio

Lab 7 (All Sections) Prelab: Introduction to Verilog

Topics. Midterm Finish Chapter 7

CS/EE Homework 7 Solutions

ECE 4514 Digital Design II. Spring Lecture 15: FSM-based Control

Lab 7 (Sections 300, 301 and 302) Prelab: Introduction to Verilog

5.14 Algorithmic State Machine (ASM) Charts

ECE 4514 Digital Design II. Spring Lecture 9: Review of Key Ideas, System Commands and Testbenches

Latch Based Design (1A) Young Won Lim 2/18/15

Why Should I Learn This Language? VLSI HDL. Verilog-2

Date Performed: Marks Obtained: /10. Group Members (ID):. Experiment # 11. Introduction to Verilog II Sequential Circuits

CSCB58 - Lab 3. Prelab /3 Part I (in-lab) /2 Part II (in-lab) /2 TOTAL /8

Design of Sequential Logic: Flip flops, counter, state machine, stacks

Tutorial 3. Appendix D. D.1 Design Using Verilog Code. The Ripple-Carry Adder Code. Functional Simulation

Amrita Vishwa Vidyapeetham. EC429 VLSI System Design Answer Key

Behavioral Modeling and Timing Constraints

2015 Paper E2.1: Digital Electronics II

ECE 551: Digital System *

Homework deadline extended to next friday

Computer Architecture (TT 2012)

CSE 140L Final Exam. Prof. Tajana Simunic Rosing. Spring 2008

Writing Circuit Descriptions 8

Federal Urdu University of Arts, Science and Technology, Islamabad VLSI SYSTEM DESIGN. Prepared By: Engr. Yousaf Hameed.

Final Exam Solution Sunday, December 15, 10:05-12:05 PM

First Name: Last Name: PID: CSE 140L Exam. Prof. Tajana Simunic Rosing. Winter 2010

Verilog Nonblocking Assignments with Delays - Myths & Mysteries

Verilog Coding Guideline

Designing Safe Verilog State Machines with Synplify

Sequential Logic. Reminder: Lab #2 due Thursday Fall 2016 Lecture 4

VERILOG: FLIP-FLOPS AND REGISTERS

ENSC E-123: HW D3: Counter Applications; Counter in Verilog

Lecture 32: SystemVerilog

Introduction to Verilog HDL. Verilog 1

The Verilog Language COMS W Prof. Stephen A. Edwards Fall 2002 Columbia University Department of Computer Science

Register Transfer Level

Synthesis of Language Constructs. 5/10/04 & 5/13/04 Hardware Description Languages and Synthesis

Transcription:

C A R L E T O N U N I V E R S I T Y FINAL EXAMINATION April 2011 Duration: 3 Hours No. of Students: 108 Department Name & Course Number: ELEC 3500 Digital Electronics Course Instructor(s): Ralph Mason AUTHORIZED MEMORANDA Calculator and one 8.5" x 11" Crib Sheet Only Students MUST count the number of pages in this examination question paper before beginning to write, and report any discrepancy to a proctor. This question paper has 12 pages. This examination question paper MAY NOT be taken from the examination room. In addition to this question paper, students require: an examination booklet a Scantron sheet No No Last Name: First Name: ID: Q1 Q2 Q3 Q4 Q5 Total /20 /20 /20 /20 /20 /100 Write your name and ID number clearly on front page Attempt all questions. Marking scheme for all questions are given If in a question you are asked to make an assumption, then you must use it Answer all questions on the pages provided

1. Terminology (20 Marks, 2 marks each) Briefly explain each of the follow terms and point out its significance, i.e. why or where is it important? Write within the dedicated spaces A Verilog initial Procedure B Verilog "assign" statement C Verilog blocking assignment D Verilog test bench E t CHQV F Gray code G Clock divider H Asynchronous signal I ( a + a ) (a) J Static 0 Hazard

2. Verilog Code ( 20 Marks) 2a) (10 marks) The following verilog code has inputs I and S and output Q. module exam(band, clk, rst); input clk; output band; reg [7:0] bcount; wire band; always @(posedge clk or negedge rst) begin if (rst==0) bcount<=3'b100; else bcount = {bcount[6:0], bcount[7]^bcount[6]}; end assign band = bcount[5]&(~bcount[6]); endmodule i) (2 marks) What is the intended function of the verilog code? ii) (2 marks) Point out any bad things with the above code iii) (3 marks) Does the code produce any latches? Why? iv) (3 marks) Sketch a possible synthesized circuit for the code.

2b) (10 marks) Write complete verilog code (i.e complete module) for the following state diagram. Inputs for the module are x[3:0], clk and reset. Inputs x and reset are synchronous with clk. Transistions between states are controlled by the x input as shown on the state diagram. The states are State 00, 11, 10 and 01 which have state assignments of 000, 011, 010 and 100 respectively. The reset state is State 00.

3. Hazards ( 20 Marks) i) (14 marks) Identify and mask any hazards in the following expression. Also, show that no new hazards are created. F = (gc a + aeb) (c g + d c b)

ii) (3 marks) Explain and show in a logic expression why a sum of products circuit representation should not have any dynamic hazards? iii) (3 marks) Give the logic function f = ( a + b)(c + a). Draw the Karnaugh map for this function and identify any hazards on the Karnaugh map.

4. Timing ( 20 Marks) 4a) For the following synchronous circuit the registers have T setup = 2 ns, T hold = -1 ns and T CHQV = 1 ns. i) (8 marks) Determined the minimum and maximum propagation delays for combinational logic block 1 (Comb Logic1) and combinational logic block 2 (Comb Logic2). Show how you calculate this.

ii) (4 marks) If both combinational blocks have a minimum delay of 5 ns and a maximum delay of 7ns how large could Delay2 become and the circuit still operate correctly? Show how you calculate this. iii) (3 marks) ) If both combinational blocks have a minimum delay of 4 ns and a maximum delay of 5 ns how large could the clock frequency become and the circuit still operate correctly? Show how you calculate this.

4b) The following circuit can be used to provide clock gating. (i) (3 marks) Draw a timing diagram for the circuit and explain why there are no false clock edges. (ii) (2 marks) Is this still true if there is clock skew? Why?

5. Asynchronous Circuits ( 20 Marks) 5a) For the tug of war circuit below i) (5 marks) Using a timing diagram, explain how the SyPush signal is used as a handshaking signal to interface the asynchronous push button latch to the rest of the circuitry ii) If a much faster clock was available (e.g. 10 MHz) and you only had to decide who won the round within one clock period, how could you simplify the tug of war circuitry? Draw a timing diagram to show new operation. Explain any disadvantages with your new circuit.

5b) (i) (5 marks) What is wrong with the following synchronous state machine that has asynchronous input X D SET Q A X CLR Q D SET Q B CLR Q

(ii) (5 marks) Show how you would fix it without adding or removing any flip-flops? Show your work.