Outline HIERARCHICAL DESIGN. 1. Introduction. Benefits of hierarchical design

Similar documents
HIERARCHICAL DESIGN. RTL Hardware Design by P. Chu. Chapter 13 1

Lecture 9. VHDL, part IV. Hierarchical and parameterized design. Section 1 HIERARCHICAL DESIGN

Hardware Description Languages (HDLs) Verilog

Register Transfer Methodology II

Outline. Register Transfer Methodology II. 1. One shot pulse generator. Refined block diagram of FSMD

EEL 5722C Field-Programmable Gate Array Design

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University

V1 - VHDL Language. FPGA Programming with VHDL and Simulation (through the training Xilinx, Lattice or Actel FPGA are targeted) Objectives

1 Design Process HOME CONTENTS INDEX. For further assistance, or call your local support center

INTRODUCTION TO VHDL. Lecture 5 & 6 Dr. Tayab Din Memon Assistant Professor Department of Electronic Engineering, MUET

Lecture 2 Hardware Description Language (HDL): VHSIC HDL (VHDL)

Hardware Description Language VHDL (1) Introduction

VHDL Essentials Simulation & Synthesis

Lab #1: Introduction to Design Methodology with FPGAs part 1 (80 pts)

Digital Design Using VHDL Using Xilinx s Tool for Synthesis and ModelSim for Verification

EECS150 - Digital Design Lecture 5 - Verilog Logic Synthesis

8. Best Practices for Incremental Compilation Partitions and Floorplan Assignments

EE595. Part VIII Overall Concept on VHDL. EE 595 EDA / ASIC Design Lab

1. Using the for-generahon scheme, concurrent statements can be replicated a predetermined number of times.

Two HDLs used today VHDL. Why VHDL? Introduction to Structured VLSI Design

ECE 2300 Digital Logic & Computer Organization. More Sequential Logic Verilog

Design Methodologies and Tools. Full-Custom Design

FPGA Design Challenge :Techkriti 14 Digital Design using Verilog Part 1

Abi Farsoni, Department of Nuclear Engineering and Radiation Health Physics, Oregon State University

EECE-4740/5740 Advanced VHDL and FPGA Design. Lecture 3 Concurrent and sequential statements

Verilog. What is Verilog? VHDL vs. Verilog. Hardware description language: Two major languages. Many EDA tools support HDL-based design

MODELING LANGUAGES AND ABSTRACT MODELS. Giovanni De Micheli Stanford University. Chapter 3 in book, please read it.

ELCT 501: Digital System Design

תכן חומרה בשפת VERILOG הפקולטה להנדסה

VHDL for Complex Designs

Best Practices for Incremental Compilation Partitions and Floorplan Assignments

Sequential Circuit Design: Principle

Introduction to VHDL #3

A Tutorial Introduction 1

Sequential Circuit Design: Principle

DIGITAL DESIGN TECHNOLOGY & TECHNIQUES

C-Based Hardware Design

Verilog Module 1 Introduction and Combinational Logic

Introduction to VHDL. Main language concepts

2/14/2016. Hardware Synthesis. Midia Reshadi. CE Department. Entities, Architectures, and Coding.

VHDL Sample Slides Rev Sample Slides from the 2-day and 4-day VHDL Training Courses

Elementos de Lógica Digital II

FPGA for Software Engineers

PART I BASIC DIGITAL CIRCUITS

VHDL. Douglas L. Perry. Third Edition

St.MARTIN S ENGINEERING COLLEGE Dhulapally, Secunderabad

Chapter 9: Integration of Full ASIP and its FPGA Implementation

Digital Design with FPGAs. By Neeraj Kulkarni

VHDL for Synthesis. Course Description. Course Duration. Goals

VHDL HIERARCHICAL MODELING

Digital System Design Lecture 2: Design. Amir Masoud Gharehbaghi

Contemporary Design. Traditional Hardware Design. Traditional Hardware Design. HDL Based Hardware Design User Inputs. Requirements.

Assignment. Last time. Last time. ECE 4514 Digital Design II. Back to the big picture. Back to the big picture

EECS150 - Digital Design Lecture 4 - Verilog Introduction. Outline

The Verilog Hardware Description Language

OUTLINE RTL DESIGN WITH ARX

Evolution of CAD Tools & Verilog HDL Definition

Hardware Synthesis. References

Lecture 7. Standard ICs FPGA (Field Programmable Gate Array) VHDL (Very-high-speed integrated circuits. Hardware Description Language)

Outline. CPE/EE 422/522 Advanced Logic Design L05. Review: General Model of Moore Sequential Machine. Review: Mealy Sequential Networks.

EMBEDDED SOPC DESIGN WITH NIOS II PROCESSOR AND VHDL EXAMPLES

Chapter 6 Combinational-Circuit Building Blocks

VHDL. VHDL History. Why VHDL? Introduction to Structured VLSI Design. Very High Speed Integrated Circuit (VHSIC) Hardware Description Language

INSTITUTE OF AERONAUTICAL ENGINEERING Dundigal, Hyderabad ELECTRONICS AND COMMUNICATIONS ENGINEERING

VHDL. Chapter 1 Introduction to VHDL. Course Objectives Affected. Outline

The Optimization of a Design Using VHDL Concepts

Keywords: HDL, Hardware Language, Digital Design, Logic Design, RTL, Register Transfer, VHDL, Verilog, VLSI, Electronic CAD.

Lecture #1: Introduction

Introduction to VHDL. Yvonne Avilés Colaboration: Irvin Ortiz Flores Rapid System Prototyping Laboratory (RASP) University of Puerto Rico at Mayaguez

Laboratory Exercise 8

MLR Institute of Technology

What Is VHDL? VHSIC (Very High Speed Integrated Circuit) Hardware Description Language IEEE 1076 standard (1987, 1993)

Basic Language Constructs of VHDL

Verilog Essentials Simulation & Synthesis

COE 405 Design Methodology Based on VHDL

Verilog for Combinational Circuits

COE 561 Digital System Design & Synthesis Introduction

PINE TRAINING ACADEMY

Lattice VHDL Training

VHDL Structural Modeling II

EEL 4783: Hardware/Software Co-design with FPGAs

RTL HARDWARE DESIGN USING VHDL. Coding for Efficiency, Portability, and Scalability. PONG P. CHU Cleveland State University

Speaker: Kayting Adviser: Prof. An-Yeu Wu Date: 2009/11/23

Digital VLSI Design with Verilog

Summary of FPGA & VHDL

Introduction to Verilog HDL

Digital Systems Laboratory

Chap.3 3. Chap reduces the complexity required to represent the schematic diagram of a circuit Library

SECTION 1 FAMILIARIZATION WITH VHDL

Tutorial for Altera DE1 and Quartus II

Register Transfer Level in Verilog: Part I

CprE 583 Reconfigurable Computing

Contents. Appendix D Verilog Summary Page 1 of 16

The Designer's Guide to VHDL Second Edition

Lecture 2: Introduction to System Design, VHDL Basics. TIE Logic Synthesis Arto Perttula Tampere University of Technology Fall 2017

CS232 VHDL Lecture. Types

Lecture 1: Introduction Course arrangements Recap of basic digital design concepts EDA tool demonstration

Speaker: Shao-Wei Feng Adviser: Prof. An-Yeu Wu Date: 2010/09/28

Hardware Modeling. VHDL Architectures. Vienna University of Technology Department of Computer Engineering ECS Group

10 Writing Circuit Descriptions

Transcription:

Outline HIERARCHICAL DESIGN 1. Introduction 2. Components 3. Generics 4. Configuration 5. Other supporting constructs Chapter 13 1 Chapter 13 2 1. Introduction How to deal with 1M gates or more? Hierarchical design Divided-and-conquer strategy Divide a system into smaller parts Chapter 13 3 Chapter 13 4 Benefits of hierarchical design Complexity management Focus on a manageable portion of the system, and analyze, design and verify each module in isolation. Construct the system in stages by a designer or concurrently by a team of designers. Help synthesis process Design reuse Use predesigned modules or third-party cores Use the same module in different design Isolate device-dependent components (e.g., SRAM) Chapter 13 5 Chapter 13 6 1

Relevant VHDL constructs Component Generic Configuration Library Package Subprogram 2. Components Hierarchical design usually shown as a block diagram (structural description) VHDL component is the mechanism to describe structural description in text To use a component Component declaration (make known) Component instantiation (create an instance) Chapter 13 7 Chapter 13 8 Component declaration In the declaration section of entity Info similar to entity declaration Syntax: a decade (mod-10) counter Chapter 13 9 Chapter 13 10 Component declaration for dec_counter Chapter 13 11 Chapter 13 12 2

Component instantiation Syntax Instantiate an instance of a component Provide a generic value Map formal signals to actual signals Port association (named association) Chapter 13 13 Chapter 13 14 2-digit decimal counter (00=>01=>... =>99 =>00...) Chapter 13 15 Chapter 13 16 Positional association Appeared to be less cumbersome E.g., order of port declaration in entity: Alternative component instantiation The VHDL code is a textual description of a schematic Trouble if the order later changes in entity declaration Chapter 13 17 Chapter 13 18 3

Mapping of constant and unused port E.g., Good synthesis software should remove the unneeded part perform optimization over the constant input Chapter 13 19 Chapter 13 20 3. Generics Mechanism to pass info into an entity/component Declared in entity declaration and then can be used as a constant in port declaration and architecture body Assigned a value when the component is instantiated. Like a parameter, but has to be constant e.g., parameterized binary counter Note that the generic is declared before the port and thus can be used in port declaration Chapter 13 21 Chapter 13 22 e.g., to use the parameterized counter Chapter 13 23 Chapter 13 24 4

e.g., parameterized mod-n counter Count from 0 to n-1 and wrap around Note that WIDTH depends on N Chapter 13 25 Chapter 13 26 the 2-digit decimal counter again Chapter 13 27 Chapter 13 28 Another useful application of generic: passing delay information Chapter 13 29 Chapter 13 30 5

4. Configuration Bind a component with an entity and an architecture Flexible and involved. Only simple binding of entity and architecture is needed in synthesis Entity: like a socket in a printed circuit board Architecture: like an IC chip with same outline Not supported by all synthesis software Application of binding: E.g., adder with different speed: Fast but large adder or small but slow adder E.g., Test bench descriptions at different stages Chapter 13 31 Chapter 13 32 Type of configuration: Configuration declaration (an independent design unit) Configuration specification (in architecture body) Default binding: (no configuration) Component bound to an entity with identical name Component ports bound to entity ports of same names Most recently analyzed architecture body bound to the entity Chapter 13 33 Chapter 13 34 Configuration declaration An independent design unit Simplified syntax create two architecture bodies for the decade counter (one up and one down) Chapter 13 35 Chapter 13 36 6

Chapter 13 37 Chapter 13 38 Configuration specification Included in the declaration section of architecture body Syntax: Chapter 13 39 Chapter 13 40 Component instantiation and configuration in VHDL 93 Remove component and configuration declaration Usually satisfactory for RT-level synthesis Syntax: Chapter 13 41 Chapter 13 42 7

5. Other constructs for developing large system Library Subprogram Package Library A virtual repository to stored analyzed design units Physical location determined by software Design units can be organized and stored in different libraries Chapter 13 43 Chapter 13 44 Default library: work E.g., Non-default library has to be declared: syntax: E.g., library ieee; Chapter 13 45 Chapter 13 46 Subprogram Syntax of function Include function and procedure Made of sequential statement Is not a design unit; must be declared Aimed for software hierarchy not hardware hierarchy We only use function Shorthand for complex expression House-keeping tasks; e.g., type conversion Chapter 13 47 Chapter 13 48 8

Chapter 13 49 Chapter 13 50 Package Organize and store declaration information, such as data types, functions etc. Divided into Package declaration Package body (implementation of subprograms) Both are design units Chapter 13 51 Chapter 13 52 Syntax Chapter 13 53 Chapter 13 54 9

Improved mod-n counter Chapter 13 55 Chapter 13 56 6. Partition Physical partition: Division of the physical implementation Each subsystem is synthesized independently Partition too small: loose optimization opportunity Partition too large: require too much resource e.g., O(n 3 ) algorithm 1000 gates for 1 sec; 35 hours (50 3 sec) for one 50,000 gate circuit 21 min (10*5 3 sec) for 10 5,000 gate circuit 5000 to 50,000 gates for today s synthesizer Logical partition: Help development and verification process for human designers Logical partitions can be merged later in synthesis Some circuit should be isolated as independent modules Device-dependent circuit: e.g., memory modules Non-Boolean circuit: tri-state buffer, delaysensitive circuit, clock distribution network, synchronization circuit. Chapter 13 57 Chapter 13 58 10