Overview of Digital Design Methodologies

Similar documents
Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University

Hardware Modeling using Verilog Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur

Lab. Course Goals. Topics. What is VLSI design? What is an integrated circuit? VLSI Design Cycle. VLSI Design Automation

Digital System Design Lecture 2: Design. Amir Masoud Gharehbaghi

DIGITAL DESIGN TECHNOLOGY & TECHNIQUES

COE 561 Digital System Design & Synthesis Introduction

Evolution of CAD Tools & Verilog HDL Definition

Overview of Digital Design with Verilog HDL 1

EE 466/586 VLSI Design. Partha Pande School of EECS Washington State University

Hardware Modeling. Hardware Description. ECS Group, TU Wien

VLSI Design Automation

Digital Design Methodology (Revisited) Design Methodology: Big Picture

Digital Design Methodology

Chapter 5: ASICs Vs. PLDs

Design Methodologies and Tools. Full-Custom Design

EE595. Part VIII Overall Concept on VHDL. EE 595 EDA / ASIC Design Lab

Hardware Design Verification: Simulation and Formal Method-Based Approaches William K Lam Prentice Hall Modern Semiconductor Design Series

CAD for VLSI Design - I. Lecture 21 V. Kamakoti and Shankar Balachandran

An overview of standard cell based digital VLSI design

VLSI Design Automation

An Overview of Standard Cell Based Digital VLSI Design

Chapter 1 Overview of Digital Systems Design

FPGA Design Flow 1. All About FPGA

Electronic Design Automation Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur

101-1 Under-Graduate Project Digital IC Design Flow

ASIC Design Flow. P.Radhakrishnan, Senior ASIC-Core Development Engineer, Toshiba, 1060, Rincon Circle, San Jose, CA (USA) Jan 2000 (Issue-3)

Verilog. What is Verilog? VHDL vs. Verilog. Hardware description language: Two major languages. Many EDA tools support HDL-based design

CHAPTER 3 METHODOLOGY. 3.1 Analysis of the Conventional High Speed 8-bits x 8-bits Wallace Tree Multiplier

ASIC, Customer-Owned Tooling, and Processor Design

Digital System Design

Testing & Verification of Digital Circuits ECE/CS 5745/6745. Hardware Verification using Symbolic Computation

ECE 595Z Digital Systems Design Automation

TOPIC : Verilog Synthesis examples. Module 4.3 : Verilog synthesis

Overview. Design flow. Principles of logic synthesis. Logic Synthesis with the common tools. Conclusions

VLSI Design Automation. Calcolatori Elettronici Ing. Informatica

Programmable Logic Devices HDL-Based Design Flows CMPE 415

RTL Coding General Concepts

Will Silicon Proof Stay the Only Way to Verify Analog Circuits?

Intro to High Level Design with SystemC

CHAPTER 1 INTRODUCTION

EE 330 Laboratory Experiment Number 11 Design, Simulation and Layout of Digital Circuits using Hardware Description Languages

For a long time, programming languages such as FORTRAN, PASCAL, and C Were being used to describe computer programs that were

Introduction to HW design flows

EE 434 ASIC & Digital Systems

Graphics: Alexandra Nolte, Gesine Marwedel, Universität Dortmund. RTL Synthesis

ASIC Products Application Note

DESIGN STRATEGIES & TOOLS UTILIZED

System Synthesis of Digital Systems

EECS150 - Digital Design Lecture 6 - Field Programmable Gate Arrays (FPGAs)

Synthesis at different abstraction levels

Don t expect to be able to write and debug your code during the lab session.

Outline. EECS150 - Digital Design Lecture 6 - Field Programmable Gate Arrays (FPGAs) FPGA Overview. Why FPGAs?

FABRICATION TECHNOLOGIES

EDA: Electronic Design Automation

VHDL. Chapter 1 Introduction to VHDL. Course Objectives Affected. Outline

FPGA Based Digital Design Using Verilog HDL

310/ ICTP-INFN Advanced Tranining Course on FPGA and VHDL for Hardware Simulation and Synthesis 27 November - 22 December 2006

ELEC 2200 Digital Logic Circuits

PG Certificate. VLSI Design & Verification (RTL using Verilog, FPGA Design Flow & Verification) (Live Project)

What is Verilog HDL? Lecture 1: Verilog HDL Introduction. Basic Design Methodology. What is VHDL? Requirements

Philip Andrew Simpson. FPGA Design. Best Practices for Team-based Reuse. Second Edition

Functional Programming in Hardware Design

Designing with VHDL and FPGA

Choosing an Intellectual Property Core

Hardware Modelling. Design Flow Overview. ECS Group, TU Wien

CPE/EE 427, CPE 527, VLSI Design I: Tutorial #4, Standard cell design flow (from verilog to layout, 8-bit accumulator)

E 4.20 Introduction to Digital Integrated Circuit Design

Spiral 2-8. Cell Layout

VLSI Design Automation. Maurizio Palesi

VERILOG 1: AN OVERVIEW

EE 330 Laboratory Experiment Number 11

Design Methodologies. Full-Custom Design

An Introduction to Programmable Logic

PrimeTime: Introduction to Static Timing Analysis Workshop

FPGA BASED SYSTEM DESIGN. Dr. Tayab Din Memon Lecture 1 & 2

EITF35: Introduction to Structured VLSI Design

Implementing Tile-based Chip Multiprocessors with GALS Clocking Styles

Introduction to VHDL. Module #5 Digilent Inc. Course

Digital Systems Testing

System Level Design Flow

VHDL simulation and synthesis

ECEN 449 Microprocessor System Design. FPGAs and Reconfigurable Computing

More Course Information

Lecture 1: Introduction Course arrangements Recap of basic digital design concepts EDA tool demonstration

Design Solutions in Foundry Environment. by Michael Rubin Agilent Technologies

Tutorial 2.(b) : Synthesizing your design using the Synopsys Design Compiler ( For DFT Flow)

Workspace for '4-FPGA' Page 1 (row 1, column 1)

Design Methodologies. Kai Huang

ICS 252 Introduction to Computer Design

COEN-4730 Computer Architecture Lecture 12. Testing and Design for Testability (focus: processors)

Revision: August 30, Overview

IP CORE Design 矽智產設計. C. W. Jen 任建葳.

Mentor Graphics Solutions Enable Fast, Efficient Designs for Altera s FPGAs. Fall 2004

CMOS VLSI Design. MIPS Processor Example. Outline

Curtis Nelson. Walla Walla College. Introduction CMOS VLSI Design

Introduction Lecturer: Gil Rahav Semester B, EE Dept. BGU. Freescale Semiconductors Israel

Lab 3 Verilog Simulation Mapping

Design Methodologies

ECE520 VLSI Design. Lecture 1: Introduction to VLSI Technology. Payman Zarkesh-Ha

Synthesis and Optimization of Digital Circuits

Transcription:

Overview of Digital Design Methodologies ELEC 5402 Pavan Gunupudi Dept. of Electronics, Carleton University January 5, 2012 1 / 13

Introduction 2 / 13

Introduction Driving Areas: Smart phones, mobile devices, computers, communication Increased complexity, miniaturization, increased operating frequencies Moores Law: Exponential - Technology and EDA Tools EDA Tools are an integral part of the design cycle 3 / 13

CAD/EDA Tools Once upon a time.. microprocessors were manually designed How do you design a circuit with 2 billion transistors??!! CAD tools aided in semiconductor electronics development Different names: CAD, CAQ, CAP, CAS, CAM (CAX for short). EDA Tools achieve automation using CAX tools. 4 / 13

Digital Design Abstractions BEHAVIORAL DOMAIN Systems Algorithms Register transfers Logic Transfer functions STRUCTURAL DOMAIN Processors ALU s, RAM, etc. Gates, flip-flops, etc. Transistors Transistor layout Cell layout Module layout Floorplans Physical partitions PHYSICAL DOMAIN 5 / 13

Advantage of Abstraction B E F G H I A M C K J L D N O A Level 1 B C D Level 2 J Level 3 E F G H I M N O Level 4 K L (a) (b) A B D C I C J E G F H A I M N K L O (c) (d) (e) 6 / 13

Design Flow BEHAVIORAL DOMAIN STRUCTURAL DOMAIN Systems Algorithms Register transfers Logic Transfer functions Processors ALU s, RAM, etc. Gates, flip-flops, etc. Transistors Transistor layout Cell layout Module layout Floorplans Physical partitions PHYSICAL DOMAIN 7 / 13

Top Down Design Start with an idea Creation of specifications Create behavioural models (Verilog/VHDL - RTL Desc.) Convert the RTL description to gate level netlist (synthesis) Iterate between RTL and gate level using simulation Convert gate-level netlist to a layout Perform place and route Iterate between layout level and RTL level Design ready to go to fabrication 8 / 13

First generation EDA Tools Early layout was manual; polygons were cutout for mask making Berkeley Spice introduced in 1975 laid foundation for EDA tools Polygon data for layouts was entered into computers/design rule checks still a burden of the user Geometric checks (DRC: Design Rule Check) were introduced Layout extraction (layout versus schematic check) The jump from Boolean equations to Gates/Flip-flops was manual The jump from Gates/Flip-flops to polygons was also manual Only verification was automated 9 / 13

Second Generation Started around 80s Automatic place and route was introduced Logic simulators came into being - Circuits have only three states (0,1,X=unknown) The jump from behavioural to structural to attain a gate level netlist was manual All other lower level work was automated 10 / 13

Third Generation Automation work started in universities silicon compiler Automate all the way to Silicon was not so practical HDL languages such as VHDL (1987) and Verilog were introduced Synopsis introduced DesignCompiler (logic synthesis) and HDL compiler (RTL synthesis) Acceptance for these tools was slow early on: Heavy price tag Think different: think RTL not schematic Quality was not as good as manual designs Universities taught HDL languages in 90s leading to later acceptance 11 / 13

Third Generation Verification Automatic test pattern generation Large number of input datasets needed ((2ˆn) inputs + memory) Synthesize such that circuit is testable Static timing analysis Calculates the gate delays along signal path to verify timing The maximum and minimum delays are considered for further analysis Formal verification Verify by constructing original function from synthesized circuit 12 / 13

Fourth Generation Outlook Design Reuse Synthesis of RF/analog circuits Algorithmic to RTL description Signal Integrity Multidisciplinary simulation electrical, optical thermal Emerging: Bio-Design-Automation; synthetic biology Journal: IEEE Transactions on Computer-Aided Design of ICs 13 / 13