Test Application Time and Volume Compression through Seed Overlapping

Size: px
Start display at page:

Download "Test Application Time and Volume Compression through Seed Overlapping"

Transcription

1 Test Application Time and Volume Compression through Seed verlapping ABSTRACT We propose in this paper an approach based on the Scan Chain Concealment technique to further reduce test time and volume. The scheme attempts to overlap consecutive test vector seeds thus providing increased flexibility in exploiting the large volume of don t-care bits in test vectors effectively. The proposed methodology introduces no extra hardware upon the existing SCC scheme, while it produces significant reductions over all current test compression techniques. Various implementation strategies have also been explored and an analysis is given for their distinct application. Experimental data show promising results on the proposed scheme. 1. INTRDUCTIN The rapid development of IC technologies has made it possible to put an increasing number of transistors on a single chip while at the same time delivering intense challenges to the test domain. Scan based design prevails as it enhances both controllability and observability with a limited number of input and output pins. However, in large circuits that necessitate long scan chains, scan based design is confronted with the problem of highly increased testing time and memory requirement, which consequently lead to high test cost. To attack this problem, a variety of schemes have been proposed for scan based designs to achieve test time and volume reductions. Through the process of test pattern generation, compaction is applied to compatible test cubes to decrease the size of the test vector set. The compaction of test vectors utilizes the fact that the majority of bits in most test cubes are unspecified, which increases the probability of mutual compatibility. While compaction focuses on reducing the number of test vectors, compression schemes aim at reducing the number of bits shifted per test vector. More generally, compression schemes try to apply a set of test vectors by inputting a reduced amount of data. Traditionally, data compression is accomplished by exploiting the redundant data or the unbalanced frequencies of various patterns. Statistical coding [1] and run-length coding [2] are well known software techniques that have been imported to the VLSI test domain. The fact that most bits in a test vector can be don t-cares turns out to be an important feature exploitable for compression. Hence, in the VLSI test domain, various schemes have been proposed to reduce test time and volume by utilizing the unspecified bits. The Scan Chain Concealment SCC compression scheme [] breaks a long scan chain into multiple partitions and uses an XR network to provide them with test vectors. The XR network uses limited input pins and expands a set of input seed vectors to all the scan chain partitions as a test vector. The feasibility of using a small amount of input data to generate the required test vectors stems from a well-constructed XR network and the large number of don t-care bits in the test vectors. The ratio of input to output pins of the XR decompression network determines the compression ratio of the SCC design, and thus is fixed. The SCC scheme provides a threshold during the ATPG process, based on which a compromise is made between compaction and compression to attain a fixed heuristic compression rate. Since the number of don t-care bits in each test vector determines the maximum possible compression rate for every test vector, providing the flexibility of adjusting the compression ratio according to the ratio of don t-care bits promises further reductions in test time and volume. Introducing further overlapping between the compressed vectors of the SCC design provides an additional dimension for compression rate adjustment, one that is inherently decided by the number of don t-care bits in the test vectors to be applied. In this paper we propose an approach to increase the compression rate for test time and test volume based on the SCC design, which enables the adjustment of the compression rate to fit the ratio of don tcare bits in test vectors. The experimental data shows evident improvements upon the previously suggested SCC technique [], the hitherto leading test compression technique. The paper is organized as follows: Section 2 gives an outline of previous work in the area of reducing test time and test volume. In Section we briefly review the SCC compression scheme. The proposed idea is described in Section 4 while Section 5 provides the test vector generation algorithm for the proposed idea. We show some experimental data in Section 6; Section summarizes the paper and draws a number of conclusions. 2. PREVIUS WRK A variety of approaches [4, 5, 6] have been proposed focusing on improving test vector compaction during the test pattern generation process. These approaches mainly aim at minimizing the test vector set by providing various heuristic ways of compacting test cubes, either statically or dynamically. Statistical coding and run length coding such as Huffman code [1] and Golomb code [2] have been studied and applied to the area of scan chain based testing as well [1, 2]. These approaches take test vectors as data to be compressed and apply compression schemes that exploit the varying frequency of patterns. The large number of don t-care bits existing in test vectors are assigned to preferred values accordingly to achieve high compression ratios. Different implementations of hardware decoder architectures have been proposed as well [1, 2]. n the other hand, some compression schemes start from the aspect that exploits the architecture of scan chain based designs [,, 9, ]. A long scan chain is usually partitioned into multiple smaller internal scan chains that can be fed simultaneously. A variety of strategies are provided to supply test vectors to the multiple internal scan chains through the limited input pins. The output of the multiple inner scan chains is usually compressed using a MISR and the signature is shifted out through the main output pins. Some approaches try to achieve compression by reusing the data within scan chains [10, 11]. By shifting in and out only a part of the scan chain, the number of bits needed to be shifted for each test cycle is reduced. In these approaches test vectors are either reordered statically by some heuristic algorithm to attain maximal overlapping

2 scan in Seed VectorN XR Network NxM Multi-Input Signature Register M Signature utput network it is usually feasible to solve the set of equations and find a suitable seed for the required output. The SCC test vector generation algorithm starts by generating a test cube for a fault in a fault list. Then it tries to compact test cubes for other faults in the list as long as finding seeds to acquire the test vector is possible. A test vector is then generated and its seeds are accordingly calculated. Fault simulation is then incorporated to drop other faults in the list that can be detected by the current generated test vector. The above process iterates until all or a certain percentage of the faults have been caught. With the SCC compression scheme, usually over 0% reduction in test volume and test time can be achieved. Test application time and volume reductions ranging from 12.9% to 5.1% over current schemes are reported in []. Figure 1: SCC architecture of hidden scan chains [11] or dynamically generated to ensure certain overlapping with the previous response [10].. PRELIMINARIES The SCC scheme develops a decompression network which decodes an bit seed from ATE and produces outputs to feed internal hidden scan chains on the circuit. The -bit seed forms an outer scan chain which is visible to the ATE and is shifted in at the start of every test cycle. The XR decompression network thus decodes the bits seed into an bit vector and shifts each bit into one internal hidden scan chain accordingly. If we suppose that the length of all the internal hidden scan chains is, then after such test cycles, all the internal hidden scan chains will have been fed with proper test vectors ready to be applied to the circuit. The test time and volume reduction ratio is thus to. It has been previously shown that test cubes or test vectors with a large number of unspecified bits can be encoded with an LFSR seed [12, ]. The decompression network in SCC can be built based on a LFSR sequence and implemented in a parallel way using an XR network to drive a high number of internal scan chains through a limited number of external pins. The scan chain architecture for SCC is depicted in Figure 1 while an example of a decompression XR network is shown in Figure 2. The seed of the XR decompression network for certain output vectors is calculated by solving a set of linear equations, the number of which is determined by the number of specified bits in the required output vector. The coefficients of the equations, however, are determined by the construction of the XR network. Thus, when the ratio of specified bits in a test vector is low, with a properly designed XR 4. VERLAPPING F SEEDS In the SCC scheme, the size of the outer scan chain together with the number of internal scan chains essentially decides the compression ratio, and the fixed ratio furthermore puts constraints on the capability of exploiting don t-care bits in compaction and compression. During the SCC test vector generation algorithm, the don t-care bits in test vectors are exploited through two stages: compaction at first and then compression. Since compaction is followed by compression, it needs to terminate at a certain point to guarantee the feasibility of compression, which demands a certain ratio of don t-care bits left over by compaction, due to the fixed ratio constraint. The exploitation of don t-care bits by compression, on the other hand, aims at the don tcare bits left over after compaction concludes. However, due to the fixed compression ratio, when the don t-care bits left over by compaction exceed the threshold, the maximum possible compression rate cannot be achieved. This situation usually happens in the later stages of the test vector generation process, where faults are not clustered together and test cubes cannot undergo a large amount of compaction, thus leaving test vectors with a large amount of don t-care bits while catching a small number of new faults. We introduce the seed overlapping scheme, which aims at further compression of test time and volume by providing the flexibility to fit the number of don t-care bits left over by compaction. Unlike the inner scan chains or an ordinary scan chain, an outer scan chain contains always a seed that is to be decoded for feeding multiple inner scan chains while no response is ever written back into the outer scan chain itself. Thus, it is feasible to apply overlapping between consequent seeds whenever possible to exploit further compression flexibly. The amount of overlapping that is achievable between certain seeds is essentially decided by the don t-care numbers in the expected next output vector. We show next the feasibility of overlapping between seeds in terms of matrix operations by an example. Figure illustrates a matrix representation of the XR network ex- S S 2 S 1 S = S = S2 S = S1 S2 S S1 S 2 S S1 S 2 S1 S S2 = S1 S S2 S = S S = S S 0 S 1 S 2 Figure 2: An example of XR network Figure : Matrix representation of XR network example

3 # # ' = ample shown previously in Figure 2. If we denote the seed vector as, the output vector as and the XR network matrix as, then the relationship between an output vector and its seed can be expressed as: Suppose the output vector needed contains four specified bits, such as x1xx0x1xx0. Thus, with only specified to 1010, the seed can be determined by utilizing the four rows in matrix corresponding to and. The seed vector is calculated by the reduced equation below corresponding to the rows for the specified bits : "! $# "% *,- **. /,.,*.,/1,*.,*- **- 0 The output vector is consequently fully specified 454 by the pseudoran- "2. To show the example of overlapping, we now consider the next out- dom fill of the XR matrix as put vector, *6, that follows. Let -6 be xx0x1xxxxx thus containings only two specified bits at and. We can then form the next seed vector by only shifting in two bits upon the previous seed, where "# % and! derived! from the shifting of former and. The newly shifted and can be calculated by solving the equation below.! : 9, *, * 0 <! 1** -*/ :-;,= * / & '! By solving the equation the output vector 6 can be 5 generated by shifting only half of the seed vector. The seed ? thus can specify the output vector -6 as -6 6@ A2. The example above shows the method applied so as to exploit the overlapping between seed vectors and also illustrates that the amount of overlapping between seeds matches the don t-care bit rate in the output vector. Instead of fully shifting in every seed vector, overlapping can be applied to every two adjacent seed vectors to reduce the required amount of shifting. In general, an XR network can be designed in a way that introduces no linear dependency among a small number of rows in the matrix X, thus making it always feasible to generate a seed that overlaps with the previous one in the amount that matches the number of don t-care bits in the output vector. The flexibility of changing the compression ratio according to the variety of don t-care bit ratio is therefore achieved through the proposed scheme. * / > 5. TEST GENERATIN ALGRITHM In order to exploit the large ratio of don t-care bits in test vectors to accomplish the compression of test time and volume, the test vector generation algorithm must be incorporated to guarantee the full coverage of faults. Since the proposed scheme aims at applying overlapping between seed vectors in the SCC scheme, the test vector generation algorithm in this work is based on the algorithm proposed in the SCC design with the appropriate modification made for the overlapping feature. Two distinct strategies can be applied in the test vector generation algorithm, and they are presented and discussed below. 5.1 Compaction first algorithm The compaction first algorithm is based on the strategy that tries to perform as much compaction as possible with the compression capability acting as a constraint. The compression capability is set to the maximum possible seed length, i.e. no overlapping between seeds, to help perform more compactions. Thus, no overlapping is assumed during the compaction stage and overlapping between seeds is subsequently attempted. We give the Compaction first algorithm for test vector generation below: B Compaction first algorithm 1. Select C! a fault from the fault list and generate a test cube that catches it. 2. Iterate for all faults in the C fault list: a Generate a test cube or use already generated test cube. C CD! b If is not compatible with, then go back to step 2. c If the resultant test cube C 6 is not compressible by a sequence of non-overlapped seed vectors, then go back to step 2. d Merge C and C! and store C 6 as C!.. Perform maximal possible overlapping between adjacent seeds for the current test pattern C!. 4. Perform fault simulation and drop detected faults from the fault list. 5. If undetected faults remain, go to step 1. In the algorithm, the iteration part of applying compaction first and compression afterwards is similar to the corresponding part in the SCC algorithm. Compaction is performed under the constraint that compression is using the full seed vector i.e. no overlapping is assumed. The resultant test cube is decomposed into a sequence of output vectors in step to be used for calculating and generating overlapped seed vectors. In this step, the maximal possible overlapping between every two adjacent seed vectors is analysed according to the number of don t-care bits in the next output vector. The sequence of overlapped seeds is computed by solving a set of matrix equation and thereby a sequence of output vectors is determined which essentially constitute the test vector. Fault simulation is then applied with the generated test vector and fault dropping is performed to get rid of the detectable faults. This process is iterated until no undetected fault remains in the fault list, thus guaranteeing full fault coverage. The algorithm described above exhibits most reduction in test time in terms of shifting cycles since the number of overlapping bits between two seeds can vary from 0 to to fit the don t-care bits ratio maximally. Test volume, in terms of test data that need to be stored on ATE, can be improved over the SCC design as well, although not as significantly as that of test time since a slight amount of additional information is needed to be stored to indicate the amount of shift for the

4 Compress first TPG Algorithm Compaction first TPG Algorithm none or half overlapping any length of overlapping Ptn Vol compress Time compress Ptn Vol compress Time compress Ptn Vol compress Time compress circuit num #bits cmp #bits cmp num #bits cmp #bits cmp num #bits cmp #bits cmp S % % % % % % S % % % % % % S % % % % % % S % % % % % % S % % % % % % Ave % % % % % % Table 1: Comparison between different strategies of test vector generation algorithms seeds. Use of a limited number of discrete shifting steps enables minimization of the communication necessary for directing the amount of shift, albeit at a slight deterioration of application time improvement. 5.2 Compression first algorithm An alternative algorithm tries to put a stricter constraint for compaction to guarantee improved compression. A fixed amount of overlapping is set as a constraint for compaction up to a predefined percentage of fault coverage. This constraint is subsequently loosened to enable the full shifting of seed vectors. The algorithm is shown below. B Compression first algorithm 1. Select a fault from the fault list and generate a test cube C! that catches it. 2. Iterate for all faults in the C fault list: a Generate a test cube or use already generated test cube. b If C is not compatible with CD!, go back to step 2. c If the resultant test cube C 6 is not compressible by a sequence of half-overlapped seed vectors, then go back to step 2. d Merge C and C! and store C 6 as C!.. Perform fault simulation and drop detected faults from the fault list. 4. If fault coverage threshold is not attained, go to step Perform the Compaction first algorithm for all the undetected faults in the fault list. The main structure of this algorithm is similar to the previous one, while the constraint for compaction is tightened to half-overlapping among seeds. With this stricter constraint, the number of test patterns that are needed may increase slightly, yet the threshold of fault coverage percentage can be adjusted to attain an optimal solution. 6. EXPERIMENTAL DATA ur experiment is carried out using ATALANTA [1] as the test cube generation tool and HPE [14] for fault simulation. The seed overlapping compression algorithm is implemented in a C program and is based on a reimplemented SCC compression scheme. We perform our scheme on the largest five ISCAS9 benchmark4 circuits with a randomly generated XR network of the size EGFIHJE. Thus, the length of a seed vector is 24 and the length of an output vector is 200, which further determines the number of internal scan chains in each circuit to be 200 as well. The length of each internal scan chain is 4 for the circuits S120 and S1550, 9 for S592 and S41 but for S54. In Table 1 we show a comparison between various test vector generation strategies. The Compression first algorithm is shown on the left part and the Compaction first algorithm is shown on the right. Within the Compaction first algorithm, two kinds of implementations are listed. The first one performs only one fixed form of overlapping half overlapping between seeds in Compaction first algorithm. Thus, either none or 12 bits of overlapping is applied in order to decrease the amount of data needed to indicate the length of shifting from ATE. The second one allows any length of overlapping from 0 to 2 between two seeds, thus exploiting the maximal possible compression on test time. Within each strategy, we list experimental data obtained under the strategy. The first column named Ptn num gives the number of test patterns required while the remaining columns contain data for test volume and test time compression. The column indicated by #bits shows the test volume in terms of number of bits or test time in terms of number of cycles. The number of bits in test volume is higher than the shifting cycles in test time due to the extra information needed for indicating the amount of overlapping. The column denoted cmp gives the compression ratio reduced by the compression scheme compared to the plain implementation of shifting all test patterns sequentially with no compression scheme applied. The number of test patterns necessary for a plain implementation without shifting is obtained from [], and the test time and volume are calculated as the multiplication of test pattern number and scan chain length. The best result for each circuit is indicated in bold phase. The experimental data is consistent with our analysis of the various strategies. In general, the Compression first algorithm generates slightly more test patterns while the Compaction first algorithm requires less. n the other hand, indicated by the number of bits in test volume, Compression first performs better than Compaction first. This is due to the reason that Compression first forces a fixed half overlapping until a certain percentage of faults has been covered, which requires less extra information on specifying overlapping bits. Also, for the same reason, the none or half overlapping strategy outperforms the any length of overlapping strategy in the Compaction first algorithm in test volume. However, with the increased freedom to perform any length of overlapping, the any length of overlapping strategy achieves best test time compression rate in most cases. The circuit S592 provides an exception due to the fact that its faults are trivial to detect and thus coverable by a very small set of test vectors. In spite of the difference, all strategies show a high reduction of around 5% on both test time and volume compared to a no compression scheme. In Table 2 we show the comparison of the proposed scheme to previous results in test application time reduction. The results from the Compaction first algorithm are used for the proposed scheme to aim

5 PSFS FDR SCC VSC Procircuit [] [15] [] [] posed imp S % S % S N/A % S % S % Ave % imp.% 40.%.% 4.4% without overlapped scheme circuit SCC over- test volume test time [] lapping #bits imp #bits imp S % % S % % S % % S % % S % % Ave % % Table 2: Test time reduction comparison with previous work at the best reduction for test application time. ther previous work included in the comparison are the Parallel Serial Full Scan PSFS, [], the Frequency Directed Run-Length FDR coding [15], the Scan Chain Concealment SCC [] and the Virtual Scan Chain VSC []. The best previous result for each circuit is shown in bold font, and an improvement percentage is listed in the last column based on the comparison to the best previous result for each circuit. The last row includes the improvement made by the proposed scheme compared to each of the previous works on average. Significant improvement is seen for the proposed technique compared to previous ones. To further investigate the reduction solely attributable to overlapping, we have performed a non-overlapping experiment with our implementation of SCC scheme. Due to the difference in implementation 1, the SCC approach we implemented outperforms the SCC on test time and volume in []. However, significant improvement in test time can still be obtained by performing overlapping. Test volume, although slightly increased by the extra information needed to indicate the amount of overlapping, still shows improvement by performing overlapping in general. The result is shown in Table. In this table, the column SCC [] shows the result from the SCC scheme from [] while the column without overlapping indicates the enhanced implementation of SCC yet without performing any overlapping. The rest of the columns show the results of the approach with overlapping performed from the aspects of both test volume and test time. The column #bits indicates test volume and test time in terms of bit number and shifting cycles while the column imp shows improvement ratios upon the approach without overlapping i.e. column.. CNCLUSIN A compression scheme based on the SCC design for test time and volume reduction is proposed. Through the overlapping of seeds the compression ratio is able to be adjusted according to the don t-care ratio within test vectors. The flexibility thereby achieved makes it possible to maximize the compression ratios of test time and volume. The methodology of performing seed overlapping is provided in this paper and several strategies of test vector generation algorithms are discussed accordingly. We provide three sets of experimental results. The set of results for comparison among various test pattern generation algorithm strategies exhibits consistency with our analysis. Another set of data provides a comparison between the proposed scheme and previous work, proving attainment of significantly elevated compression ratios with the proposed scheme as well as significant improvement compared to previous related work. The third set of results clearly confirms the promising improvement achieved through the proposed overlapping scheme. The variance originates from a difference in the outer scan chain length and code parameter definitions. Table : Reduction attributable solely to overlapping. REFERENCES [1] A. Jas, J. Ghosh-Dastidar and N. A. Touba, Scan Vector Compression/Decompression Using Statistical Coding, in VTS, pp , [2] A. Chandra and K. Chakrabarty, System-on-a-Chip Test- Data Compression and Decompression Architectures Based on Golomb Codes, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 20, n., pp. 55 6, March [] I. Bayraktaroglu and A. railoglu, Test Volume and Application Time Reduction Through Scan Chain Concealment, in DAC, pp , [4] I. Hamzaoglu and J. Patel, Test Set Compaction Algorithms for Combinational Circuits, in ITC, pp. 2 29, 199. [5] I. Pomeranz, L. Reddy and S. Reddy, CMPACTTEST: a Method to Compact Test Sets for Combinational Circuits, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 12, n., pp , July 199. [6] S. Bhatia and P. Varma, Test Compaction in a Parallel Access Scan Environment, in ATS, pp , 199. [] I. Hamzaoglu and J. Patel, Reducing Test Application Time for Full Scan Embedded Cores, in FTCS, pp , [] A. Jas, B. Pouya and N. A. Touba, Virtual Scan Chains: A Means for Reducing Scan Length in Cores, in ITC, pp., [9] S. Reda and A. railoglu, Reducing Test Application Time Through Test Data Mutation Encoding, in DATE, pp. 9, [10] omitted for blind review. [11] C. Su and K. Hwang, A Serial Scan Test Vector Compression Methodology, in ITC, pp. 91 9, 199. [12] S. Hellebrand, J. Rajski, S. Tarnick, S. Venkataraman and B. Courtois, Built-in Test for Circuits with Scan Based on Reseeding of Multiple-polynomial Linear Feedback Shift Registers, IEEE Transactions on Computers, vol. 44, n. 2, pp. 22 2, February [1] H. K. Lee and D. S. Ha, n the Generation of Test Patterns for combinational Circuits, Technical report 12-9, Dep t of Electrical Eng., Virginia Polytechnic Institute and State University, 199. [14] H. K. Lee and D. S. Ha, HPE: An Efficient Parallel Fault Simulator for Synchronous Sequential Circuits, in DAC, pp. 6 40, [15] A. Chandra and K. Chakrabarty, Test Resource Partitioning for SCs, IEEE Design & Test of Computers, vol. 1, n. 5, pp. 0 91, September/ctober 2001.

Improving Encoding Efficiency for Linear Decompressors Using Scan Inversion

Improving Encoding Efficiency for Linear Decompressors Using Scan Inversion Improving Encoding Efficiency for Linear Decompressors Using Scan Inversion Kedarnath J. Balakrishnan and Nur A. Touba Computer Engineering Research Center Department of Electrical and Computer Engineering

More information

Reconfigurable Linear Decompressors Using Symbolic Gaussian Elimination

Reconfigurable Linear Decompressors Using Symbolic Gaussian Elimination Reconfigurable Linear Decompressors Using Symbolic Gaussian Elimination Kedarnath J. Balakrishnan and Nur A. Touba Computer Engineering Research Center University of Texas at Austin {kjbala,touba}@ece.utexas.edu

More information

WITH integrated circuits, especially system-on-chip

WITH integrated circuits, especially system-on-chip IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 14, NO. 11, NOVEMBER 2006 1227 Improving Linear Test Data Compression Kedarnath J. Balakrishnan, Member, IEEE, and Nur A. Touba, Senior

More information

Deterministic BIST ABSTRACT. II. DBIST Schemes Based On Reseeding of PRPG (LFSR) I. INTRODUCTION

Deterministic BIST ABSTRACT. II. DBIST Schemes Based On Reseeding of PRPG (LFSR) I. INTRODUCTION Deterministic BIST Amiri Amir Mohammad Ecole Polytechnique, Montreal, December 2004 ABSTRACT This paper studies some of the various techniques of DBIST. Normal BIST structures use a PRPG (LFSR) to randomly

More information

TEST cost in the integrated circuit (IC) industry has

TEST cost in the integrated circuit (IC) industry has IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 33, NO. 8, AUGUST 2014 1219 Utilizing ATE Vector Repeat with Linear Decompressor for Test Vector Compression Joon-Sung

More information

An Efficient Test Relaxation Technique for Synchronous Sequential Circuits

An Efficient Test Relaxation Technique for Synchronous Sequential Circuits An Efficient Test Relaxation Technique for Synchronous Sequential Circuits Aiman El-Maleh and Khaled Al-Utaibi King Fahd University of Petroleum and Minerals Dhahran 326, Saudi Arabia emails:{aimane, alutaibi}@ccse.kfupm.edu.sa

More information

Efficiently Utilizing ATE Vector Repeat for Compression by Scan Vector Decomposition

Efficiently Utilizing ATE Vector Repeat for Compression by Scan Vector Decomposition Efficiently Utilizing ATE Vector Repeat for Compression by Scan Vector Decomposition Jinkyu Lee and Nur A. Touba Computer Engineering Research Center University of Teas, Austin, TX 7872 {jlee2, touba}@ece.uteas.edu

More information

FCSCAN: An Efficient Multiscan-based Test Compression Technique for Test Cost Reduction

FCSCAN: An Efficient Multiscan-based Test Compression Technique for Test Cost Reduction FCSCAN: An Efficient Multiscan-based Test Compression Technique for Test Cost Reduction Youhua Shi, Nozomu Togawa, Shinji Kimura, Masao Yanagisawa, and Tatsuo Ohtsuki Dept. of Computer Science Waseda University,

More information

Efficient Test Compaction for Combinational Circuits Based on Fault Detection Count-Directed Clustering

Efficient Test Compaction for Combinational Circuits Based on Fault Detection Count-Directed Clustering Efficient Test Compaction for Combinational Circuits Based on Fault Detection Count-Directed Clustering Aiman El-Maleh, Saqib Khurshid King Fahd University of Petroleum and Minerals Dhahran, Saudi Arabia

More information

TEST DATA COMPRESSION BASED ON GOLOMB CODING AND TWO-VALUE GOLOMB CODING

TEST DATA COMPRESSION BASED ON GOLOMB CODING AND TWO-VALUE GOLOMB CODING TEST DATA COMPRESSION BASED ON GOLOMB CODING AND TWO-VALUE GOLOMB CODING Priyanka Kalode 1 and Mrs. Richa Khandelwal 2 1 Department of Electronics Engineering, Ramdeobaba college of Engg and Mgt, Nagpur

More information

Gate Level Fault Diagnosis in Scan-Based BIST

Gate Level Fault Diagnosis in Scan-Based BIST Gate Level Fault Diagnosis in Scan-Based BIST Ismet Bayraktaroglu Computer Science & Engineering Department University of California, San Diego La Jolla, CA 92093 ibayrakt@csucsdedu Alex Orailoglu Computer

More information

Scan-Based BIST Diagnosis Using an Embedded Processor

Scan-Based BIST Diagnosis Using an Embedded Processor Scan-Based BIST Diagnosis Using an Embedded Processor Kedarnath J. Balakrishnan and Nur A. Touba Computer Engineering Research Center Department of Electrical and Computer Engineering University of Texas

More information

Test Data Compression Using Dictionaries with Fixed-Length Indices

Test Data Compression Using Dictionaries with Fixed-Length Indices Test Data Compression Using Dictionaries with Fixed-Length Indices Lei Li and Krishnendu Chakrabarty Department of Electrical and Computer Engineering Duke University, Durham, NC 27708 {ll, krish}@ee.duke.edu

More information

Special ATPG to Correlate Test Patterns for Low-Overhead Mixed-Mode BIST

Special ATPG to Correlate Test Patterns for Low-Overhead Mixed-Mode BIST Special ATPG to Correlate Test Patterns for Low-Overhead Mixed-Mode BIST Madhavi Karkala Nur A. Touba Hans-Joachim Wunderlich Computer Engineering Research Center Computer Architecture Lab Dept. of Electrical

More information

Deterministic Test Vector Compression/Decompression for Systems-on-a-Chip Using an Embedded Processor

Deterministic Test Vector Compression/Decompression for Systems-on-a-Chip Using an Embedded Processor JOURNAL OF ELECTRONIC TESTING: Theory and Applications 18, 503 514, 2002 c 2002 Kluwer Academic Publishers. Manufactured in The Netherlands. Deterministic Test Vector Compression/Decompression for Systems-on-a-Chip

More information

REDI: An Efficient Fault Oriented Procedure to Identify Redundant Faults in Combinational Logic Circuits *

REDI: An Efficient Fault Oriented Procedure to Identify Redundant Faults in Combinational Logic Circuits * REDI: An Efficient Fault Oriented Procedure to Identify Redundant Faults in Combinational Logic Circuits * Chen Wang, Irith Pomeranz and Sudhakar M. Reddy Electrical and Computer Engineering Department

More information

Reducing Control Bit Overhead for X-Masking/X-Canceling Hybrid Architecture via Pattern Partitioning

Reducing Control Bit Overhead for X-Masking/X-Canceling Hybrid Architecture via Pattern Partitioning Reducing Control Bit Overhead for X-Masking/X-Canceling Hybrid Architecture via Pattern Partitioning Jin-Hyun Kang Semiconductor Systems Department Sungkyunkwan University Suwon, Korea, 16419 kangjin13@skku.edu

More information

Compression-based SoC Test Infrastructures

Compression-based SoC Test Infrastructures Compression-based SoC Test Infrastructures LIRMM, Univ. Montpellier II/CNRS, 161 rue Ada, 34932 Montpellier cedex 5, France {dalmasso, flottes, rouzeyre}@lirmm.fr Abstract. Test Data Compression techniques

More information

Low Power Test-Compression for High Test-Quality and Low Test-Data Volume

Low Power Test-Compression for High Test-Quality and Low Test-Data Volume 2011 Asian Test Symposium Low Power Test-Compression for High Test-Quality and Low Test-Data Volume Vasileios Tenentes, Xrysovalantis Kavousianos Dept. of Computer Science, University of Ioannina, Greece,

More information

ALTERING A PSEUDO-RANDOM BIT SEQUENCE FOR SCAN-BASED BIST

ALTERING A PSEUDO-RANDOM BIT SEQUENCE FOR SCAN-BASED BIST ALTERING A PSEUDO-RANDOM BIT SEQUENCE FOR SCAN-BASED BIST Nur A. Touba* and Edward J. McCluskey Center for Reliable Computing Departments of Electrical Engineering and Computer Science Stanford University

More information

Test Data Compression Using Dictionaries with Selective Entries and Fixed-Length Indices

Test Data Compression Using Dictionaries with Selective Entries and Fixed-Length Indices Test Data Compression Using Dictionaries with Selective Entries and Fixed-Length Indices LEI LI and KRISHNENDU CHAKRABARTY Duke University and NUR A. TOUBA University of Texas, Austin We present a dictionary-based

More information

On Using Machine Learning for Logic BIST

On Using Machine Learning for Logic BIST On Using Machine Learning for Logic BIST Christophe FAGOT Patrick GIRARD Christian LANDRAULT Laboratoire d Informatique de Robotique et de Microélectronique de Montpellier, UMR 5506 UNIVERSITE MONTPELLIER

More information

A Partition-Based Approach for Identifying Failing Scan Cells in Scan-BIST with Applications to System-on-Chip Fault Diagnosis

A Partition-Based Approach for Identifying Failing Scan Cells in Scan-BIST with Applications to System-on-Chip Fault Diagnosis A Partition-Based Approach for Identifying Failing Scan Cells in Scan-BIST with Applications to System-on-Chip Fault Diagnosis Chunsheng Liu and Krishnendu Chakrabarty Department of Electrical & Computer

More information

TAM design and Test Data Compression for SoC Test Cost Reduction

TAM design and Test Data Compression for SoC Test Cost Reduction TAM design and Test Data Compression for SoC Test Cost Reduction Julien DALMASSO, Marie-Lise FLOTTES, Bruno ROUZEYRE LIRMM, UMR5506 CNRS/Université Montpellier II 161 rue Ada, 34932 Montpellier cedex 5,

More information

A Reconfigured Twisted Ring Counter Using Tristate Coding For Test Data Compression

A Reconfigured Twisted Ring Counter Using Tristate Coding For Test Data Compression A Reconfigured Twisted Ring Counter Using Tristate Coding For Test Data Compression 1 R.Kanagavalli, 2 Dr.O.Saraniya 1 PG Scholar, 2 Assistant Professor Department of Electronics and Communication Engineering,

More information

At-Speed Scan Test with Low Switching Activity

At-Speed Scan Test with Low Switching Activity 21 28th IEEE VLSI Test Symposium At-Speed Scan Test with Low Switching Activity Elham K. Moghaddam Department of ECE, University of Iowa, Iowa City, IA 52242 ekhayatm@engineering.uiowa.edu Janusz Rajski

More information

A Novel Test Data Compression Algorithm

A Novel Test Data Compression Algorithm International Journal of Engineering Research and Development e-issn: 2278-067X, p-issn : 2278-800X, www.ijerd.com Volume 5, Issue 5 (December 2012), PP. 08-18 A Novel Test Data Compression Algorithm Ms.K.Hemalatha

More information

Bit-Fixing in Pseudorandom Sequences for Scan BIST

Bit-Fixing in Pseudorandom Sequences for Scan BIST IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 20, NO. 4, APRIL 2001 545 Bit-Fixing in Pseudorandom Sequences for Scan BIST Nur A. Touba, Member, IEEE, and Edward J.

More information

Static Compaction Techniques to Control Scan Vector Power Dissipation

Static Compaction Techniques to Control Scan Vector Power Dissipation Static Compaction Techniques to Control Scan Vector Power Dissipation Ranganathan Sankaralingam, Rama Rao Oruganti, and Nur A. Touba Computer Engineering Research Center Department of Electrical and Computer

More information

EMBEDDED DETERMINISTIC TEST FOR LOW COST MANUFACTURING TEST

EMBEDDED DETERMINISTIC TEST FOR LOW COST MANUFACTURING TEST EMBEDDED DETERMINISTIC TEST FOR LOW COST MANUFACTURING TEST Janusz Rajski, Jerzy Tyszer *, Mark Kassab, Nilanjan Mukherjee, Rob Thompson, Kun-Han Tsai, Andre Hertwig, Nagesh Tamarapalli, Grzegorz Mrugalski

More information

Test Data Compression Using Variable Prefix Run Length (VPRL) Code

Test Data Compression Using Variable Prefix Run Length (VPRL) Code IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 4, Issue 2, Ver. I (Mar-Apr. 2014), PP 91-95 e-issn: 2319 4200, p-issn No. : 2319 4197 Test Data Compression Using Variable Prefix Run Length

More information

Low-Power Weighted Pseudo-Random BIST Using Special Scan Cells

Low-Power Weighted Pseudo-Random BIST Using Special Scan Cells Low-Power Weighted Pseudo-Random BIST Using Special Scan Cells Shalini Ghosh 1, Eric MacDonald 2, Sugato Basu 3, and Nur A Touba 1 1 Dept of Electrical & Computer Engg University of Texas at Austin Austin,

More information

Delay Test with Embedded Test Pattern Generator *

Delay Test with Embedded Test Pattern Generator * JOURNAL OF INFORMATION SCIENCE AND ENGINEERING 29, 545-556 (2013) Delay Test with Embedded Test Pattern Generator * Department of Computer Science National Chung Hsing University Taichung, 402 Taiwan A

More information

Deterministic Test Vector Compression/Decompression Using an Embedded Processor and Facsimile Coding

Deterministic Test Vector Compression/Decompression Using an Embedded Processor and Facsimile Coding Master s thesis Deterministic Test Vector Compression/Decompression Using an Embedded Processor and Facsimile Coding by Jon Persson LITH-IDA-EX 05/033 SE 2005-03-21 Master s thesis Deterministic Test Vector

More information

A Heuristic for Concurrent SOC Test Scheduling with Compression and Sharing

A Heuristic for Concurrent SOC Test Scheduling with Compression and Sharing A Heuristic for Concurrent SOC Test Scheduling with Compression and Sharing Anders Larsson, Erik Larsson, Petru Eles, and Zebo Peng Embedded Systems Laboratory Linköpings Universitet SE-582 83 Linköping,

More information

Testing Embedded Cores Using Partial Isolation Rings

Testing Embedded Cores Using Partial Isolation Rings Testing Embedded Cores Using Partial Isolation Rings Nur A. Touba and Bahram Pouya Computer Engineering Research Center Department of Electrical and Computer Engineering University of Texas, Austin, TX

More information

Efficient Algorithm for Test Vector Decompression Using an Embedded Processor

Efficient Algorithm for Test Vector Decompression Using an Embedded Processor Efficient Algorithm for Test Vector Decompression Using an Embedded Processor Kamran Saleem and Nur A. Touba Computer Engineering Research Center Department of Electrical and Computer Engineering University

More information

DUE TO innovations in the manufacturing technology of

DUE TO innovations in the manufacturing technology of 274 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 35, NO. 2, FEBRUARY 2016 Tri-State Coding Using Reconfiguration of Twisted Ring Counter for Test Data Compression

More information

1 Introduction & The Institution of Engineering and Technology 2008 IET Comput. Digit. Tech., 2008, Vol. 2, No. 4, pp.

1 Introduction & The Institution of Engineering and Technology 2008 IET Comput. Digit. Tech., 2008, Vol. 2, No. 4, pp. Published in IET Computers & Digital Techniques Received on 15th May 2007 Revised on 17th December 2007 Selected Papers from NORCHIP 06 ISSN 1751-8601 Architecture for integrated test data compression

More information

Core-Level Compression Technique Selection and SOC Test Architecture Design 1

Core-Level Compression Technique Selection and SOC Test Architecture Design 1 17th Asian Test Symposium Core-Level Compression Technique Selection and SOC Test Architecture Design 1 Anders Larsson +, Xin Zhang +, Erik Larsson +, and Krishnendu Chakrabarty * + Department of Computer

More information

Test Vector Decomposition-Based Static Compaction Algorithms for Combinational Circuits

Test Vector Decomposition-Based Static Compaction Algorithms for Combinational Circuits Test Vector Decomposition-Based Static Compaction Algorithms for Combinational Circuits AIMAN H. EL-MALEH and YAHYA E. OSAIS King Fahd University of Petroleum and Minerals Testing system-on-chips involves

More information

Reducing Power Dissipation During Test Using Scan Chain Disable

Reducing Power Dissipation During Test Using Scan Chain Disable Reducing Power Dissipation During Test Using Scan Chain Disable Ranganathan Sankaralingam, Bahram Pouya2, and Nur A. Touba Computer Engineering Research Center Dept. of Electrical and Computer Engineering

More information

System-on-Chip Test Data Compression Based on Split-Data Variable Length (SDV) Code

System-on-Chip Test Data Compression Based on Split-Data Variable Length (SDV) Code Circuits and Systems, 2016, 7, 1213-1223 Published Online June 2016 in SciRes. http://www.scirp.org/journal/cs http://dx.doi.org/10.4236/cs.2016.78105 System-on-Chip Test Data Based on Split-Data Variable

More information

A Technique for High Ratio LZW Compression

A Technique for High Ratio LZW Compression A Technique for High Ratio LZW Compression Michael J. Knieser Francis G. Wolff Chris A. Papachristou Daniel J. Weyer David R. McIntyre Indiana University Purdue University Indianapolis Case Western Reserve

More information

[ Circuit Under Test 1

[ Circuit Under Test 1 Applying Two-Pattern Tests Using Scan-Mapping Nur A. Touba and Edward J. McCluskey Center for Reliable Computing Stanford University, Stanford, CA. 94305 Abstract This paper proposes a new technique, called

More information

Improving Logic Obfuscation via Logic Cone Analysis

Improving Logic Obfuscation via Logic Cone Analysis Improving Logic Obfuscation via Logic Cone Analysis Yu-Wei Lee and Nur A. Touba Computer Engineering Research Center University of Texas, Austin, TX 78712 ywlee@utexas.edu, touba@utexas.edu Abstract -

More information

How Effective are Compression Codes for Reducing Test Data Volume?

How Effective are Compression Codes for Reducing Test Data Volume? How Effective are Compression Codes for Reducing Test Data Volume Anshuman Chandra, Krishnendu Chakrabarty and Rafael A Medina Dept Electrical & Computer Engineering Dept Electrical Engineering & Computer

More information

Contents 1 Basic of Test and Role of HDLs 2 Verilog HDL for Design and Test

Contents 1 Basic of Test and Role of HDLs 2 Verilog HDL for Design and Test 1 Basic of Test and Role of HDLs... 1.1 Design and Test... 1.1.1 RTL Design Process... 1.1.2 Postmanufacturing Test... 1.2 Test Concerns... 1.2.1 Test Methods... 1.2.2 Testability Methods... 1.2.3 Testing

More information

Test-Architecture Optimization and Test Scheduling for SOCs with Core-Level Expansion of Compressed Test Patterns

Test-Architecture Optimization and Test Scheduling for SOCs with Core-Level Expansion of Compressed Test Patterns Test-Architecture Optimization and Test Scheduling for SOCs with Core-Level Expansion of Compressed Test Patterns Anders Larsson, Erik Larsson, Krishnendu Chakrabarty *, Petru Eles, and Zebo Peng Embedded

More information

Test Set Compaction Algorithms for Combinational Circuits

Test Set Compaction Algorithms for Combinational Circuits Proceedings of the International Conference on Computer-Aided Design, November 1998 Set Compaction Algorithms for Combinational Circuits Ilker Hamzaoglu and Janak H. Patel Center for Reliable & High-Performance

More information

Optimization-based Multiple Target Test Generation for Highly Compacted Test Sets

Optimization-based Multiple Target Test Generation for Highly Compacted Test Sets Optimization-based Multiple Target Test Generation for Highly Compacted Test Sets Stephan Eggersglüß Kenneth Schmitz René Krenz-Bååth Rolf Drechsler Institute of Computer Science University of Bremen 28359

More information

Design for Test of Digital Systems TDDC33

Design for Test of Digital Systems TDDC33 Course Outline Design for Test of Digital Systems TDDC33 Erik Larsson Department of Computer Science Introduction; Manufacturing, Wafer sort, Final test, Board and System Test, Defects, and Faults Test

More information

Constructive floorplanning with a yield objective

Constructive floorplanning with a yield objective Constructive floorplanning with a yield objective Rajnish Prasad and Israel Koren Department of Electrical and Computer Engineering University of Massachusetts, Amherst, MA 13 E-mail: rprasad,koren@ecs.umass.edu

More information

Test Vector Decomposition Based Static Compaction Algorithms for Combinational Circuits

Test Vector Decomposition Based Static Compaction Algorithms for Combinational Circuits Test Vector Decomposition Based Static Compaction Algorithms for Combinational Circuits Aiman H. El-Maleh and Yahya E. Osais King Fahd University of Petroleum and Minerals Testing system-on-chips involves

More information

AN OPTIMAL APPROACH FOR TESTING EMBEDDED MEMORIES IN SOCS

AN OPTIMAL APPROACH FOR TESTING EMBEDDED MEMORIES IN SOCS International Journal of Engineering Inventions ISSN: 2278-7461, www.ijeijournal.com Volume 1, Issue 8 (October2012) PP: 76-80 AN OPTIMAL APPROACH FOR TESTING EMBEDDED MEMORIES IN SOCS B.Prathap Reddy

More information

298 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 35, NO. 2, FEBRUARY 2016

298 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 35, NO. 2, FEBRUARY 2016 298 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 35, NO. 2, FEBRUARY 2016 Enhancing Superset X-Canceling Method With Relaxed Constraints on Fault Observation Joon-Sung

More information

A Novel Test-Data Compression Technique using Application-Aware Bitmask and Dictionary Selection Methods Kanad Basu 1 and Prabhat Mishra 2

A Novel Test-Data Compression Technique using Application-Aware Bitmask and Dictionary Selection Methods Kanad Basu 1 and Prabhat Mishra 2 A Novel Test-Data Compression Technique using Application-Aware Bitmask and Selection Methods Kanad Basu 1 and Prabhat Mishra 2 Computer and Information Science and Engineering Department University of

More information

Srikanth Venkataraman* Janusz Rajski* Sybille Hellebrand** Steen Tarnick*** **University of Siegen, Siegen, Germany

Srikanth Venkataraman* Janusz Rajski* Sybille Hellebrand** Steen Tarnick*** **University of Siegen, Siegen, Germany An Ecient BIST Scheme Based on Reseeding of Multiple Polynomial Linear Feedback Shift Registers Srikanth Venkataraman* Janusz Rajski* Sybille Hellebrand** Steen Tarnick*** *MACS Laboratory, McGill University,

More information

A Hybrid BIST Architecture and its Optimization for SoC Testing

A Hybrid BIST Architecture and its Optimization for SoC Testing A Hybrid BIST Architecture and its Optimization for SoC Testing Gert Jervan, Zebo Peng Linköping University, Sweden {gerje, zebpe}@ida.liu.se Raimund Ubar, Helena Kruus Tallinn Technical University, Estonia

More information

An Energy-Efficient Scan Chain Architecture to Reliable Test of VLSI Chips

An Energy-Efficient Scan Chain Architecture to Reliable Test of VLSI Chips An Energy-Efficient Scan Chain Architecture to Reliable Test of VLSI Chips M. Saeedmanesh 1, E. Alamdar 1, E. Ahvar 2 Abstract Scan chain (SC) is a widely used technique in recent VLSI chips to ease the

More information

AS FEATURE sizes shrink and designs become more complex,

AS FEATURE sizes shrink and designs become more complex, IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 23, NO. 10, OCTOBER 2004 1447 Identification of Error-Capturing Scan Cells in Scan-BIST With Applications to System-on-Chip

More information

Generation of Compact Test Sets with High Defect Coverage

Generation of Compact Test Sets with High Defect Coverage Generation of Compact Test Sets with High Defect Coverage Xrysovalantis Kavousianos Krishnendu Chakrabarty * Dept. of Computer Science, University of Ioannina Dept. of Electrical & Computer Engineering,

More information

Review and Implementation of DWT based Scalable Video Coding with Scalable Motion Coding.

Review and Implementation of DWT based Scalable Video Coding with Scalable Motion Coding. Project Title: Review and Implementation of DWT based Scalable Video Coding with Scalable Motion Coding. Midterm Report CS 584 Multimedia Communications Submitted by: Syed Jawwad Bukhari 2004-03-0028 About

More information

Low Cost Convolutional Code Based Concurrent Error Detection in FSMs

Low Cost Convolutional Code Based Concurrent Error Detection in FSMs Low Cost Convolutional Code Based Concurrent Error Detection in FSMs Konstantinos Rokas & Yiorgos Makris Electrical Engineering Department Yale University {konstantinos.rokas, yiorgos.makris}@yale.edu

More information

Efficient RT-level Fault Diagnosis Methodology

Efficient RT-level Fault Diagnosis Methodology Efficient RT-level Fault Diagnosis Methodology ABSTRACT Increasing IC densities necessitate diagnosis methodologies with enhanced defect locating capabilities. Yet the computational effort expended in

More information

CHAPTER 6 MODIFIED FUZZY TECHNIQUES BASED IMAGE SEGMENTATION

CHAPTER 6 MODIFIED FUZZY TECHNIQUES BASED IMAGE SEGMENTATION CHAPTER 6 MODIFIED FUZZY TECHNIQUES BASED IMAGE SEGMENTATION 6.1 INTRODUCTION Fuzzy logic based computational techniques are becoming increasingly important in the medical image analysis arena. The significant

More information

RTL Scan Design for Skewed-Load At-Speed Test under Power Constraints

RTL Scan Design for Skewed-Load At-Speed Test under Power Constraints RTL Scan Design for Skewed-Load At-Speed Test under Power Constraints Ho Fai Ko and Nicola Nicolici Department of Electrical and Computer Engineering McMaster University, Hamilton, ON, L8S 4K1, Canada

More information

An Efficient Method for Multiple Fault Diagnosis

An Efficient Method for Multiple Fault Diagnosis An Efficient Method for Multiple Fault Diagnosis Khushboo Sheth Department of Electrical and Computer Engineering Auburn University, Auburn, AL Abstract: In this paper, failing circuits are analyzed and

More information

On Test Generation by Input Cube Avoidance

On Test Generation by Input Cube Avoidance On Test Generation by Input Cube Avoidance Irith Pomeranz 1 and Sudhakar M. Reddy 2 School of Electrical & Computer Eng. Electrical & Computer Eng. Dept. Purdue University University of Iowa W. Lafayette,

More information

CHAPTER 6. 6 Huffman Coding Based Image Compression Using Complex Wavelet Transform. 6.3 Wavelet Transform based compression technique 106

CHAPTER 6. 6 Huffman Coding Based Image Compression Using Complex Wavelet Transform. 6.3 Wavelet Transform based compression technique 106 CHAPTER 6 6 Huffman Coding Based Image Compression Using Complex Wavelet Transform Page No 6.1 Introduction 103 6.2 Compression Techniques 104 103 6.2.1 Lossless compression 105 6.2.2 Lossy compression

More information

Behavioral Array Mapping into Multiport Memories Targeting Low Power 3

Behavioral Array Mapping into Multiport Memories Targeting Low Power 3 Behavioral Array Mapping into Multiport Memories Targeting Low Power 3 Preeti Ranjan Panda and Nikil D. Dutt Department of Information and Computer Science University of California, Irvine, CA 92697-3425,

More information

CHAPTER 1 INTRODUCTION

CHAPTER 1 INTRODUCTION 1 CHAPTER 1 INTRODUCTION 1.1 Advance Encryption Standard (AES) Rijndael algorithm is symmetric block cipher that can process data blocks of 128 bits, using cipher keys with lengths of 128, 192, and 256

More information

Integrating Error Detection into Arithmetic Coding

Integrating Error Detection into Arithmetic Coding Integrating Error Detection into Arithmetic Coding Colin Boyd Λ, John G. Cleary, Sean A. Irvine, Ingrid Rinsma-Melchert, Ian H. Witten Department of Computer Science University of Waikato Hamilton New

More information

SOFTWARE-BASED TEST FOR NON PROGRAMMABLE CORES IN BUS-BASED SYSTEM-ON-CHIP ARCHITECTURES

SOFTWARE-BASED TEST FOR NON PROGRAMMABLE CORES IN BUS-BASED SYSTEM-ON-CHIP ARCHITECTURES SOFTWARE-BASED TEST FOR NON PROGRAMMABLE CORES IN BUS-BASED SYSTEM-ON-CHIP ARCHITECTURES Alexandre M. Amory\ Leandro A. Oliveira' and Fernando G. Moraes^ ^Instituto de Informdtica - Universidade Federal

More information

A New Optimal State Assignment Technique for Partial Scan Designs

A New Optimal State Assignment Technique for Partial Scan Designs A New Optimal State Assignment Technique for Partial Scan Designs Sungju Park, Saeyang Yang and Sangwook Cho The state assignment for a finite state machine greatly affects the delay, area, and testabilities

More information

MRT based Fixed Block size Transform Coding

MRT based Fixed Block size Transform Coding 3 MRT based Fixed Block size Transform Coding Contents 3.1 Transform Coding..64 3.1.1 Transform Selection...65 3.1.2 Sub-image size selection... 66 3.1.3 Bit Allocation.....67 3.2 Transform coding using

More information

CHAPTER 6 A SECURE FAST 2D-DISCRETE FRACTIONAL FOURIER TRANSFORM BASED MEDICAL IMAGE COMPRESSION USING SPIHT ALGORITHM WITH HUFFMAN ENCODER

CHAPTER 6 A SECURE FAST 2D-DISCRETE FRACTIONAL FOURIER TRANSFORM BASED MEDICAL IMAGE COMPRESSION USING SPIHT ALGORITHM WITH HUFFMAN ENCODER 115 CHAPTER 6 A SECURE FAST 2D-DISCRETE FRACTIONAL FOURIER TRANSFORM BASED MEDICAL IMAGE COMPRESSION USING SPIHT ALGORITHM WITH HUFFMAN ENCODER 6.1. INTRODUCTION Various transforms like DCT, DFT used to

More information

N-Model Tests for VLSI Circuits

N-Model Tests for VLSI Circuits 40th Southeastern Symposium on System Theory University of New Orleans New Orleans, LA, USA, March 16-18, 2008 MC3.6 N-Model Tests for VLSI Circuits Nitin Yogi and Vishwani D. Agrawal Auburn University,

More information

Software-Based Test Integration in a SoC Design Flow

Software-Based Test Integration in a SoC Design Flow Software-Based Test Integration in a SoC Design Flow Alexandre M. Amory, Leandro A. Oliveira, Fernando G. Moraes Faculdade de Informática - Pontifícia Universidade Católica do Rio Grande do Sul (PUCRS)

More information

Cryptompress: A Symmetric Cryptography algorithm to deny Bruteforce Attack

Cryptompress: A Symmetric Cryptography algorithm to deny Bruteforce Attack Cryptompress: A Symmetric Cryptography algorithm to deny Bruteforce Attack Vivek Kumar 1 and Sandeep Sharma 2 1,2 Department of Electronics and Communication Engineering, Dehradun Institute of Technology,

More information

Improving Memory Repair by Selective Row Partitioning

Improving Memory Repair by Selective Row Partitioning 200 24th IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems Improving Memory Repair by Selective Row Partitioning Muhammad Tauseef Rab, Asad Amin Bawa, and Nur A. Touba Computer

More information

A Image Comparative Study using DCT, Fast Fourier, Wavelet Transforms and Huffman Algorithm

A Image Comparative Study using DCT, Fast Fourier, Wavelet Transforms and Huffman Algorithm International Journal of Engineering Research and General Science Volume 3, Issue 4, July-August, 15 ISSN 91-2730 A Image Comparative Study using DCT, Fast Fourier, Wavelet Transforms and Huffman Algorithm

More information

Czech Technical University in Prague Faculty of Electrical Engineering. Doctoral Thesis

Czech Technical University in Prague Faculty of Electrical Engineering. Doctoral Thesis Czech Technical University in Prague Faculty of Electrical Engineering Doctoral Thesis June, 2007 Petr Fišer Czech Technical University in Prague Faculty of Electrical Engineering Department of Computer

More information

Page 1. Outline. A Good Reference and a Caveat. Testing. ECE 254 / CPS 225 Fault Tolerant and Testable Computing Systems. Testing and Design for Test

Page 1. Outline. A Good Reference and a Caveat. Testing. ECE 254 / CPS 225 Fault Tolerant and Testable Computing Systems. Testing and Design for Test Page Outline ECE 254 / CPS 225 Fault Tolerant and Testable Computing Systems Testing and Design for Test Copyright 24 Daniel J. Sorin Duke University Introduction and Terminology Test Generation for Single

More information

Improved Attack on Full-round Grain-128

Improved Attack on Full-round Grain-128 Improved Attack on Full-round Grain-128 Ximing Fu 1, and Xiaoyun Wang 1,2,3,4, and Jiazhe Chen 5, and Marc Stevens 6, and Xiaoyang Dong 2 1 Department of Computer Science and Technology, Tsinghua University,

More information

Chapter 4: Implicit Error Detection

Chapter 4: Implicit Error Detection 4. Chpter 5 Chapter 4: Implicit Error Detection Contents 4.1 Introduction... 4-2 4.2 Network error correction... 4-2 4.3 Implicit error detection... 4-3 4.4 Mathematical model... 4-6 4.5 Simulation setup

More information

A Same/Different Fault Dictionary: An Extended Pass/Fail Fault Dictionary with Improved Diagnostic Resolution

A Same/Different Fault Dictionary: An Extended Pass/Fail Fault Dictionary with Improved Diagnostic Resolution A Same/Different Fault Dictionary: An Extended Pass/Fail Fault Dictionary with Improved Diagnostic Resolution Irith Pomeranz 1 and Sudhakar M. Reddy 2 School of Electrical & Computer Eng. Electrical &

More information

Upper Bounding Fault Coverage by Structural Analysis and Signal Monitoring

Upper Bounding Fault Coverage by Structural Analysis and Signal Monitoring Upper Bounding Fault Coverage by Structural Analysis and Signal Monitoring Abstract A new algorithm for determining stuck faults in combinational circuits that cannot be detected by a given input sequence

More information

1. Introduction. 2. Motivation and Problem Definition. Volume 8 Issue 2, February Susmita Mohapatra

1. Introduction. 2. Motivation and Problem Definition. Volume 8 Issue 2, February Susmita Mohapatra Pattern Recall Analysis of the Hopfield Neural Network with a Genetic Algorithm Susmita Mohapatra Department of Computer Science, Utkal University, India Abstract: This paper is focused on the implementation

More information

A Controller Testability Analysis and Enhancement Technique

A Controller Testability Analysis and Enhancement Technique A Controller Testability Analysis and Enhancement Technique Xinli Gu Erik Larsson, Krzysztof Kuchinski and Zebo Peng Synopsys, Inc. Dept. of Computer and Information Science 700 E. Middlefield Road Linköping

More information

Efficient Image Compression of Medical Images Using the Wavelet Transform and Fuzzy c-means Clustering on Regions of Interest.

Efficient Image Compression of Medical Images Using the Wavelet Transform and Fuzzy c-means Clustering on Regions of Interest. Efficient Image Compression of Medical Images Using the Wavelet Transform and Fuzzy c-means Clustering on Regions of Interest. D.A. Karras, S.A. Karkanis and D. E. Maroulis University of Piraeus, Dept.

More information

Adaptive Techniques for Improving Delay Fault Diagnosis

Adaptive Techniques for Improving Delay Fault Diagnosis Adaptive Techniques for Improving Delay Fault Diagnosis Jayabrata Ghosh-Dastidar and Nur A. Touba Computer Engineering Research Center Department of Electrical and Computer Engineering University of Texas,

More information

Digital VLSI Testing Prof. Santanu Chattopadhyay Department of Electronics and EC Engineering India Institute of Technology, Kharagpur.

Digital VLSI Testing Prof. Santanu Chattopadhyay Department of Electronics and EC Engineering India Institute of Technology, Kharagpur. Digital VLSI Testing Prof. Santanu Chattopadhyay Department of Electronics and EC Engineering India Institute of Technology, Kharagpur Lecture 05 DFT Next we will look into the topic design for testability,

More information

3 No-Wait Job Shops with Variable Processing Times

3 No-Wait Job Shops with Variable Processing Times 3 No-Wait Job Shops with Variable Processing Times In this chapter we assume that, on top of the classical no-wait job shop setting, we are given a set of processing times for each operation. We may select

More information

Test Point Insertion Based on Path Tracing

Test Point Insertion Based on Path Tracing Test Point Insertion Based on Path Tracing Nur A. Touba and Edward J. McCluskey Center for Reliable Computing Stanford University, Stanford, CA 94305 Abstract This paper presents an innovative method for

More information

Leveraging Set Relations in Exact Set Similarity Join

Leveraging Set Relations in Exact Set Similarity Join Leveraging Set Relations in Exact Set Similarity Join Xubo Wang, Lu Qin, Xuemin Lin, Ying Zhang, and Lijun Chang University of New South Wales, Australia University of Technology Sydney, Australia {xwang,lxue,ljchang}@cse.unsw.edu.au,

More information

Joint Entity Resolution

Joint Entity Resolution Joint Entity Resolution Steven Euijong Whang, Hector Garcia-Molina Computer Science Department, Stanford University 353 Serra Mall, Stanford, CA 94305, USA {swhang, hector}@cs.stanford.edu No Institute

More information

Chapter 15 Introduction to Linear Programming

Chapter 15 Introduction to Linear Programming Chapter 15 Introduction to Linear Programming An Introduction to Optimization Spring, 2015 Wei-Ta Chu 1 Brief History of Linear Programming The goal of linear programming is to determine the values of

More information

An Improved Scheme for Pre-computed Patterns in Core-based SoC Architecture

An Improved Scheme for Pre-computed Patterns in Core-based SoC Architecture An Improved Scheme for Pre-computed Patterns in Core-based SoC Architecture Elaheh Sadredini,, Reza Rahimi, Paniz Foroutan, Mahmood Fathy and Zainalabedin Navabi el_sadredini@comp.iust.ac.ir, g_rahimi@ce.sharif.edu,

More information

Software-Based Self-Testing Methodology for Processor Cores

Software-Based Self-Testing Methodology for Processor Cores IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 20, NO. 3, MARCH 2001 369 Software-Based Self-Testing Methodology for Processor Cores Li Chen, Student Member, IEEE,

More information