Evolutionary On-line Synthesis of Hardware Accelerators for Software Modules in Reconfigurable Embedded Systems

Size: px
Start display at page:

Download "Evolutionary On-line Synthesis of Hardware Accelerators for Software Modules in Reconfigurable Embedded Systems"

Transcription

1 Evolutionary On-line Synthesis of Hardware Accelerators for Software Modules in Reconfigurable Embedded Systems Roland Dobai Faculty of Information Technology Brno University of Technology Brno, Czech Republic Abstract High-level synthesis ensures that a program code written in a programming language can be easily transferred into a hardware description language and thereby makes the design process faster and less demanding. However, professional synthesis tools are very complex and not well suited for deployment in embedded systems with limited resources. Evolutionary on-line synthesis is proposed in this paper which represents a new way to exploit evolutionary design. The program code is deployed into the processor of a reconfigurable embedded platform and the evolutionary design framework into its programmable logic. The functionality is transferred from software into hardware online, during runtime. The proposed approach serves essentially the same purpose as high-level synthesis but the synthesis can be performed by a simple embedded computational platform and on-line. The approach can offer higher flexibility in contrast with similar conventional approaches because it can develop solutions for unexpected situations. The proposed approach has the advantage over previous evolutionary design systems because no separated training phase is required and the softwarebased (correct) implementation is always available which can be exploited for monitoring and re-evolving the solution if this is necessary. The proposed evolutionary on-line synthesis was evaluated on the problem of image filter design. The achieved results indicate that the automated on-line synthesis based on evolution is possible and it can provide even better results than conventional implementations in specific application domains. I. INTRODUCTION High-level synthesis [1] ensures that a program code written in a programming language can be easily transferred into a hardware description language and thereby it makes the design process faster and less demanding. Professional synthesis tools are very complex and not well suited for deployment in embedded systems with limited resources. An embedded system can rely on high-level synthesis only when all the possible situations which can occur during operation can be predicted in design time (a system or to be more specific, a reconfiguration bitstream must be prepared for each such situation). The system requirements of high-level synthesis does not allow to employ such tools in embedded systems. An evolutionary design framework can be implemented relatively easily in reconfigurable embedded systems [2]. Although it must be designed for a specific application domain but it can ensure adaptive behavior (in the domain) by evolving new solutions (the change of the training data can guide the evolution toward the implementation of a solution for the altered specification). Evolutionary on-line synthesis is proposed in this paper which represents a new way of exploiting evolutionary design. The Zynq-7000 all programmable (AP) system-on-chip (SoC) is used. The program code is deployed into one of the processors available in the platform and the evolutionary design framework into its programmable logic (PL). The functionality is transferred from software into hardware on-line (during runtime). This transfer is guided by the evolutionary algorithm (EA) running in the second processor of the platform. The proposed approach was developed essentially for the same purpose as high-level synthesis but (1) the synthesis can be performed by a simple embedded computational platform, (2) is utilized on-line, and (3) can offer higher flexibility (adaptability) in contrast with similar conventional approaches because it can develop solutions for unexpected situations. The proposed approach has the advantage over previous evolutionary design frameworks because (1) no separated training phase is required (training is performed on-line, during operation) and (2) the software-based (correct) implementation is always available which can be exploited for monitoring and evolving new solutions if this is necessary (previous methods can guarantee a successful evolution only with a certain probability; in the proposed approach the softwarebased implementation is available and can be relied on if the evolution may fail). The rest of the paper is organized as follows. Section II contains the related work. The proposed evolutionary synthesis of hardware accelerators is described in Section III and evaluated by image filter design in Section IV. Section V discusses the achieved results and Section VI concludes the paper. II. RELATED WORK Evolutionary design frameworks implemented in field programmable gate arrays (FPGAs) are usually based on Cartesian genetic programming [2] with two dimensional array of processing elements (PEs). The selected operations of PEs

2 i0 i1 PE1 PE2 PE3 i2 y0 PE4 PE5 PE6 i3 y1 i4 i5 PE7 PE8 PE9 Figure 1. A digital circuit implemented by an interconnected array of PEs PL Inputs 3 TI TI FIFO CPU SWI 5 TI TO 6 RAM RAM 8 10 HWI 9 FU 7 11 Outputs TO 4 TO FIFO and the interconnections define a digital circuit with a given function. An example is shown in Figure 1, where i0,..., i5 are inputs and y0, y1 are outputs. The interconnections are implemented by multiplexers not shown in the figure. It should be noted that not all PEs are used necessarily. For example, PE3 and PE7 do not participate in the implementation of the output functions. The PE can implement operations based on a predefined set ranging from single- to multi-bit and from simple logic to complex arithmetic operations. The possibilities offered by the PE array define the space in which the search for the solution with the required functionality will be performed. An EA is used for performing the search. Several candidate solutions are generated during the search by bio-inspired operators (e.g. mutation). The candidate solution is represented by a chromosome which is a direct encoding of the PE array. All candidate solutions are established in the PE array; training inputs (TIs) are applied to the inputs and the outputs are observed. The outputs are compared with the training outputs (TOs), i.e. the intended (reference) outputs, and the fitness is computed. The fitness represents how close is the functionality of the candidate solution from the desired one and provides the guidance for the EA. New generation of solutions are based with higher probability on candidate solutions with higher fitness. A well tuned EA will perform the search toward candidate solutions with better fitness and the design goal may be achieved with a certain probability (the search is nondeterministic because random decisions are made). In order to ensure a successful search, the parameters of EA need to be tuned for the given application domain [2]. Depending on the used EA, this for example can mean the desired mutation rate (number of random changes in new candidate solutions), size of population, required number of generations. The software-based implementation of EAs can be effectively executed by hard-core processors of FPGAs [3]. The computation of fitness for all candidate solutions is usually a time-expensive operation for which programmable resources of FPGAs can be employed [4]. The latest evolutionary design frameworks utilize dynamic partial reconfiguration (DPR) for establishment of candidate solutions [5] [8]. Several methods were proposed for effective implementation of candidate solutions by programmable resources [9] [11]. CPU 2 EA Figure 2. Software module SWI running in CPU 1 while hardware module HWI is synthesized in parallel by the EA running in CPU 2 III. PROPOSED EVOLUTIONARY SYNTHESIS The proposed approach exploits evolutionary design frameworks for a new purpose: on-line synthesis of hardware accelerators. Firstly, the most time consuming part of the programming code which could be accelerated by hardware is identified. Secondly, an evolutionary design framework is assembled with an array of PEs. The size of the array and the available PE operations are selected based on the expected size of the solution and the application domain. It should be noted that the design of such a system is much trivial than of an (even the simplest) conventional deterministic method [2] (not even considering complex methods with the ability of mapping into reconfigurable resources, and finding the routing for the desired timing constraints). The proposed approach is able to develop hardware-based accelerators in embedded systems with limited resources. Furthermore, the evolved solutions in these accelerators can be even better than those designed by conventional methods because in some cases there is no known optimal specification of the desired behavior (EAs are more successful in these areas). Examples include hashing functions, image filters, classifiers, predictors [2]. The proposed approach shown in Figure 2 can be deployed in a Zynq-7000 AP SoC which contains two central processing units (CPUs) and a reconfigurable PL. The software implementation (SWI) running in CPU 1 is the code selected for acceleration. It transforms some inputs into outputs at a speed determined by the implementation and the performance of CPU 1 (steps 1 and 2 in the figure). A subset of the inputs and outputs (TI and TO) which will be used for training is sent into the PL and stored in first-in first-out (FIFO) memories (3, 4). These inputs and outputs are transferred into randomaccess memories (RAMs) after the whole subset is available in the FIFOs (5, 6). The EA generates a candidate solution and establishes it in the PL (7). The established candidate solution is a hardware implementation (HWI) embodied by an array

3 Table I 8-BIT OPERATIONS OVER OPERANDS a, b IMPLEMENTED BY PES Code Operation Description constant 1 a identity a inversion 3 a 1 right shift by 1 4 a 2 right shift by 2 5 a + b addition 6 a + s b addition with saturation 7 (a + b) 1 average 8 max(a, b) maximum 9 min(a, b) minimum 10 a > 127? b : a conditional selection 11 a b absolute difference Fitness A C B frame 1 frame 2 frame 3 D E Generation Figure 3. Fitness during filter evolution with changing video frames of PEs. The training inputs (TIs) are applied to the inputs of this solution (8) and the outputs are observed (9). The outputs are compared with the expected (training) outputs (10) and the fitness is computed by the fitness unit (FU). The fitness is used by the EA for guiding the search (11). The evaluation of new candidate solutions are performed repeatedly from step 7 to 11. The EA can be implemented very efficiently in CPU 2 (only simple operations are used, e.g. random number generation, change of bit-values). The most time-consuming part of the evolution (evaluation and fitness computation) is executed relatively fast in the PL. Therefore, the evolution can generate and evaluate several generations of candidate solutions while CPU 1 produces only one set of TIs and TOs. This difference in speed is transparent for both CPUs because of the asynchronous FIFOs. The new training set can be stored in the RAMs (5, 6) in parallel with the read (8, 10) and no clock cycles are wasted for waiting. IV. CASE STUDY: IMAGE FILTERING Image filtering is a good domain for evolutionary design because no optimal specification is known for filtering functions and an EA can evolve better solutions than any conventional method following non-optimal specifications [2]. The proposed method is evaluated by evolution of image filters. A filter with 3 3 kernel is used, i.e. the pixel and the eight neighbors are considered in order to determine the new pixel value. All pixels are assumed to have 8-bit precision. The video frame is processed sequentially (one pixel-neighbor at the time). The filter is implemented by a PE array with eight columns and four rows. This size was determined by taking into account the size of other conventional filters (the array is always larger than the expected size but not all PEs are active for all filters). Table I shows the set of operations a PE can implement. This set was influenced by operations frequently used in conventional filters. A simple loss function is used as the fitness, and the EA is set to minimize it. Each filtered pixel is compared with the pixel in the TO reference video frame and the absolute difference is computed. The fitness is given as the sum of these differences determined for all the video frame pixels. The TO reference frame is produced by the software-based (conventional) implementation. Evolutionary strategy is used as the EA. The elite (candidate solution with the best fitness) survives always and becomes a member of the new generation. Therefore, the fitness value has a non-decreasing development (but only while the training video frames are not replaced). This is demonstrated by Figure 3 where approximately 60 generations of candidate filters are generated and evaluated while one training frame is prepared by the other CPU. The best fitness of the generation can remain the same during several generations (between A and B in the figure) and improve (decrease) only occasionally. However, there can be a significant change (even increase) when the video frames are replaced (C, D, E). V. EXPERIMENTAL RESULTS The proposed evolutionary on-line synthesis was evaluated on the problem of image filter design. An XC7Z020 Xilinx Zynq-7000 AP SoC device was used for implementation. The underlying architecture for evolutionary design was adopted from [10] and extended for evaluation of six filters in parallel without degrading the speed of filter evaluation (the previous implementation evaluated filters sequentially). The architecture including the PEs were synthesized by commercial design tools. The PE functions are established by DPR and the interconnections by multiplexers controlled by control registers. It should be noted, that the proposed on-line synthesis is not restricted to the selected architecture for evolutionary design and other architectures could be used also. All the source codes, hardware descriptions and software utilities are available [12]. Two types of image filters were evolved: noise filters and edge detectors. Salt & pepper noise was used during the experiments. Median filter implemented as sorting network and Sobel operator was considered as reference noise filter and edge detector, respectively. The first 3500 video frames from the free movie Big Buck Bunny [13] were applied to the filters after the frames were scaled and transformed to

4 Table II SPEED OF EVOLUTION time [s] frames/s gen/s filt/s gen/frame median Sobel Table III RESOURCE UTILIZATION IN XC7Z020 Site type Used Available Utilization [%] slice look-up tables slice registers block RAM tile resolution and 8-bit gray scale, respectively. The middle area of pixels of the frames were used for training. The size of training images was previously proved to be sufficient for evolving good filters [2], [10]. The experiments were aimed at confirming that evolutionary design platforms can be successfully used for a new purpose: on-line synthesis of hardware accelerators for software modules. Evolutionary strategy (1 + 5) with four mutations was adopted which is a good choice for the given architecture according to previous experiments [10]. Usually, the elite (parent) is not re-evaluated with the new population because the training data does not change (i.e. the fitness of the elite remain valid). The proposed evolutionary synthesis requires the elite to be re-evaluated always because it is not possible to predict when the training data will change (the fitness of the elite need to be valid for the current training set in order to ensure reliable selection based on comparison of fitness values). A. Speed of evolution Table II contains the results concerning the speed of evolution for both noise filtering (median) and edge detection (Sobel). The time column shows the amount of time necessary to process the prepared video frames of the given resolution by CPU 1. These values are different because the speed of software-based implementation depends on the used operations (which are obviously not the same for different software modules). These processing speeds imply 6 7 frames per second and it is obvious that a hardware-based filter implementation could be beneficial. The evolution controlled by CPU 2 is able to process more than 1500 generations per second (creation and evaluation of six filters in all generations mean approximately 9500 filters in each second). As the results indicate, the evolutionary design framework processes more than 200 generations while the software-based implementation processes only one frame (i.e. the frame in the evolutionary design framework is applied more than 200 times to more than 200 new generations of filters). This huge speed difference allows to generate and evaluate an adequate number of filters and consequently, find very good ones. The scaling of the video frames would further improve the quality of evolved solutions. The processing of larger frames takes longer by CPU 1 which means that CPU 2 will be able to generate and evaluate more filters (the size of the training set remains the same). The evolution can be stopped at any time and the evolved filter can be deployed as a hardware-based accelerator. Six filters (all able to work at 300 MHz) can process a video of resolution at the speed of frames per second which is considerable faster than 6 7 frames of the software-based approach. Furthermore, the software-based implementation could exploit various filters at the same time with multiple passes which would provide even better training data for the evolution and the speed of the hardware-based implementation would remain the same. B. Resource utilization Table III shows the resource utilization in XC7Z020 Zynq AP SoC. Less than half of the device is used but the resources are distributed along the chip in order to achieve 300 MHz operational frequency. The implementation supports the deployment of six filters at the time. More filters could be implemented in the given device only at the cost of lower operational frequency. The block RAM tiles are used to implement FIFOs and memories for the training data. Two video frames (reference TI and TO) of size and precision of 8 bits are stored in memories and used for training. The capacity of FIFOs allows to store four video frames (2 TIs and 2 TOs) which ensures that CPU 1 will not need to wait while the evolution for the current frame finishes and the next frame is transferred out from the FIFO for the consequent evolution. C. Quality of the evolved solutions Figure 4 compares the software-based implementation with the evolved hardware-based implementation for two selected video frames. It should be noted that the original frames are shown in the figure for comparison and are not available in the evolutionary design system. The frames were scaled down to 40%. Peak signal-to-noise ratios (PSNRs) are indicated in parentheses. As it can be observed, the evolved solutions (indicated as evolved noise) result in clearer images (for example the details of the grass and trees are more dominant) and produce also better (higher) PSNRs than the softwarebased implementation (shown as median in the figure). The evolution of edge detectors was similarly successful. The evolved solutions (indicated as evolved edge) are acceptable in comparison with the software-based edge detector (Sobel). The evolution starts with random initial population and the search can be characterized as non-deterministic [2]. However, the results are almost of the same quality in all runs thanks to the well tuned EA [10]. This is demonstrated by Figure 5 which contains the lower and upper quartiles of fitness values measured during 30 independent runs. It should be noted that the fitness values are shown only for the best filters of the population (elite) when the video frames change (i.e. moments A, C, D, E in the example in Figure 3). The fitness in the

5 original salt & pepper (17.75 db) median (28.45 db) evolved noise (28.88 db) Sobel evolved edge original salt & pepper (17.89 db) median (32.38 db) evolved noise (33.63 db) Sobel evolved edge Figure 4. Results of video filtering. The filter is continuously evolved without a separated training phase. Original video frames are licensed: (c) copyright 2008, Blender Foundation / figure does not (and need not) progress toward lower values because the training data changes (the non-decreasing property of EAs with elitism can be observed only while the evolution is performed on the same frame).

6 Fitness Frame Figure 5. Lower and upper quartiles of the fitness measured when the video frame changes (30 independent runs are considered; evolution is performed on-line without a separated training phase) The interquartile range (difference between the upper and lower quartiles) is very small which indicates filters of approximately the same quality. VI. CONCLUSIONS Evolutionary on-line synthesis of hardware accelerators for software modules was proposed in this paper which represents a new way for exploiting evolutionary design frameworks. The proposed approach offers similar functionality as highlevel synthesis but the synthesis can be performed by a simple embedded platform. This allows to on-line re-design the system after it was deployed into an environment where subsequent human intervention is not possible. The approach can offer higher flexibility in contrast with similar conventional approaches because it can develop solutions for unexpected situations. Approaches based on EAs usually do not guarantee that an acceptable solution will be found. In the proposed approach the software-based (always correct) implementation is available and can be used while the hardware implementation is under development. And after the hardware implementation is already functional, the software implementation can monitor it and continue the evolution if the hardware implementation does not meet the desired functionality or quality. The proposed evolutionary on-line synthesis was evaluated on the problem of image filter design. The achieved results indicate that the automated on-line synthesis is possible and in specific application domains can provide even better results than conventional implementations. The achieved results can be easily reproduced by the available source codes and hardware descriptions [12]. This work was supported by The European Social Fund (ESF) under the project Excellent Young Researchers at BUT (CZ.1.07/2.3.00/ ) and the Czech science foundation under the project Advanced Methods for Evolutionary Design of Complex Digital Circuits ( S). REFERENCES [1] G. Martin and G. Smith, High-level synthesis: Past, present, and future, IEEE Des. Test. Comput., vol. 26, no. 4, pp , 2009, doi: /MDT [2] L. Sekanina, Evolvable hardware, in Handbook of Natural Computing. Springer Verlag, 2012, pp , doi: / [3] R. Dobai and L. Sekanina, Towards evolvable systems based on the Xilinx Zynq platform, in 2013 IEEE International Conference on Evolvable Systems (ICES), 2013, pp , doi: /ICES [4] L. Sekanina, Virtual reconfigurable circuits for real-world applications of evolvable hardware, in Evolvable Systems: From Biology to Hardware, ser. Lecture Notes in Computer Science, vol Springer Berlin Heidelberg, 2003, pp , doi: / _17. [5] A. Upegui and E. Sanchez, Evolving hardware by dynamically reconfiguring Xilinx FPGAs, in Evolvable Systems: From Biology to Hardware, ser. Lecture Notes in Computer Science, vol. 3637, 2005, pp , doi: / _6. [6] R. Salvador, A. Otero, J. Mora, E. de la Torre, T. Riesgo, and L. Sekanina, Evolvable 2D computing matrix model for intrinsic evolution in commercial FPGAs with native reconfiguration support, in Proceedings of the 2011 NASA/ESA Conference on Adaptive Hardware and Systems, 2011, pp , doi: /AHS [7] R. Dobai and L. Sekanina, Image filter evolution on the Xilinx Zynq platform, in NASA/ESA Conference on Adaptive Hardware and Systems (AHS-2013), 2013, pp , doi: /AHS [8] F. Cancare, M. D. Santambrogio, and D. Sciuto, A direct bitstream manipulation approach for Virtex4-based evolvable systems, in Proceedings of 2010 IEEE International Symposium on Circuits and Systems (ISCAS), 2010, pp , doi: /ISCAS [9] K. Glette, J. Torresen, and M. Hovin, Intermediate level FPGA reconfiguration for an online EHW pattern recognition system, in NASA/ESA Conference on Adaptive Hardware and Systems, ser. AHS 2009, 2009, pp , doi: /AHS [10] R. Dobai and L. Sekanina, Low-level flexible architecture with hybrid reconfiguration for evolvable hardware, ACM Trans. Reconfigurable Technol. Syst., 2014, doi: (under third review). [11] D. B. Bartolini, M. Carminati, F. Cancare, M. D. Santambrogio, and D. Sciuto, Hera project s holistic evolutionary framework, in Proceedings of the 2013 IEEE 27th International Parallel and Distributed Processing Symposium Workshops & PhD Forum, 2013, pp , doi: /IPDPSW [12] ZyEHW: Evolvable hardware in the Zynq FPGA platform, dobairoland/zyehw [Online, accessed: ]. [13] Big buck bunny, Blender Foundation, 2008, org [Online, accessed: ].

Towards Evolvable Systems Based on the Xilinx Zynq Platform

Towards Evolvable Systems Based on the Xilinx Zynq Platform Towards Evolvable Systems Based on the Xilinx Zynq Platform Roland Dobai and Lukas Sekanina Brno University of Technology, Faculty of Information Technology, IT4Innovations Centre of Excellence Brno, Czech

More information

Virtual Reconfigurable Circuits for Real-World Applications of Evolvable Hardware

Virtual Reconfigurable Circuits for Real-World Applications of Evolvable Hardware Virtual Reconfigurable Circuits for Real-World Applications of Evolvable Hardware Lukáš Sekanina Faculty of Information Technology, Brno University of Technology Božetěchova 2, 612 66 Brno, Czech Republic

More information

Computer Systems Colloquium (EE380) Wednesday, 4:15-5:30PM 5:30PM in Gates B01

Computer Systems Colloquium (EE380) Wednesday, 4:15-5:30PM 5:30PM in Gates B01 Adapting Systems by Evolving Hardware Computer Systems Colloquium (EE380) Wednesday, 4:15-5:30PM 5:30PM in Gates B01 Jim Torresen Group Department of Informatics University of Oslo, Norway E-mail: jimtoer@ifi.uio.no

More information

Coevolutionary Cartesian Genetic Programming in FPGA

Coevolutionary Cartesian Genetic Programming in FPGA Coevolutionary Cartesian Genetic Programming in FPGA Radek Hrbáček and Michaela Šikulová Brno University of Technology, Faculty of Information Technology, Božetěchova 2, 612 66 Brno, Czech Republic xhrbac01@stud.fit.vutbr.cz,

More information

Adaptive Development of Hash Functions in FPGA-Based Network Routers

Adaptive Development of Hash Functions in FPGA-Based Network Routers Adaptive Development of Hash Functions in FPGA-Based Network Routers Roland Dobai, Jan Korenek and Lukas Sekanina Brno University of Technology Faculty of Information Technology Brno, Czech Republic Email:

More information

AN AREA-EFFICIENT ALTERNATIVE TO ADAPTIVE MEDIAN FILTERING IN FPGAS. Zdenek Vasicek and Lukas Sekanina

AN AREA-EFFICIENT ALTERNATIVE TO ADAPTIVE MEDIAN FILTERING IN FPGAS. Zdenek Vasicek and Lukas Sekanina AN AREA-EFFICIENT ALTERNATIVE TO ADAPTIVE MEDIAN FILTERING IN FPGAS Zdenek Vasicek and Lukas Sekanina Faculty of Information Technology Brno University of Technology Bozetechova 2, 612 66 Brno, Czech Republic

More information

An Online EHW Pattern Recognition System Applied to Face Image Recognition

An Online EHW Pattern Recognition System Applied to Face Image Recognition An Online EHW Pattern Recognition System Applied to Face Image Recognition Kyrre Glette 1, Jim Torresen 1, and Moritoshi Yasunaga 2 1 University of Oslo, Department of Informatics, P.O. Box 1080 Blindern,

More information

FPGA IMPLEMENTATION FOR REAL TIME SOBEL EDGE DETECTOR BLOCK USING 3-LINE BUFFERS

FPGA IMPLEMENTATION FOR REAL TIME SOBEL EDGE DETECTOR BLOCK USING 3-LINE BUFFERS FPGA IMPLEMENTATION FOR REAL TIME SOBEL EDGE DETECTOR BLOCK USING 3-LINE BUFFERS 1 RONNIE O. SERFA JUAN, 2 CHAN SU PARK, 3 HI SEOK KIM, 4 HYEONG WOO CHA 1,2,3,4 CheongJu University E-maul: 1 engr_serfs@yahoo.com,

More information

HARDWARE ACCELERATOR OF CARTESIAN GENETIC PROGRAMMING WITH MULTIPLE FITNESS UNITS

HARDWARE ACCELERATOR OF CARTESIAN GENETIC PROGRAMMING WITH MULTIPLE FITNESS UNITS Computing and Informatics, Vol. 29, 2010, 1359 1371, V 2010-Dec-6 HARDWARE ACCELERATOR OF CARTESIAN GENETIC PROGRAMMING WITH MULTIPLE FITNESS UNITS Zdeněk Vašíček, Lukáš Sekanina Faculty of Information

More information

Online Evolution for a High-Speed Image Recognition System Implemented On a Virtex-II Pro FPGA

Online Evolution for a High-Speed Image Recognition System Implemented On a Virtex-II Pro FPGA Online Evolution for a High-Speed Image Recognition System Implemented On a Virtex-II Pro FPGA Kyrre Glette and Jim Torresen University of Oslo Department of Informatics P.O. Box 1080 Blindern, 0316 Oslo,

More information

Efficient Self-Reconfigurable Implementations Using On-Chip Memory

Efficient Self-Reconfigurable Implementations Using On-Chip Memory 10th International Conference on Field Programmable Logic and Applications, August 2000. Efficient Self-Reconfigurable Implementations Using On-Chip Memory Sameer Wadhwa and Andreas Dandalis University

More information

Adaptive Development of Hash Functions in FPGA-Based Network Routers

Adaptive Development of Hash Functions in FPGA-Based Network Routers Adaptive Development of Hash Functions in FPGA-Based Network Routers RICHARD CHOMJAK Real authors in case of plagiarism :-) Dobai, R., Korenek, J., & Sekanina, L. (2016). Adaptive development of hash functions

More information

A TOTALLY DISTRIBUTED GENETIC ALGORITHM: FROM A CELLULAR SYSTEM TO THE MESH OF PROCESSORS

A TOTALLY DISTRIBUTED GENETIC ALGORITHM: FROM A CELLULAR SYSTEM TO THE MESH OF PROCESSORS A TOTALLY DISTRIBUTED GENETIC ALGORITHM: FROM A CELLULAR SYSTEM TO THE MESH OF PROCESSORS Lukáš Sekanina and Václav Dvoák Department of Computer Science and Engineering Brno University of Technology Boetchova

More information

A Genetically Programmable Hybrid Virtual Reconfigurable Architecture for Image Filtering Applications

A Genetically Programmable Hybrid Virtual Reconfigurable Architecture for Image Filtering Applications A Genetically Programmable Hybrid Virtual Reconfigurable Architecture for Image Filtering Applications M. A. Almeida Computer Science Dept. UFSCar S. Carlos, SP Brazil E. C. Pedrino Computer Science Dept.

More information

Toward Self-adaptive Embedded Systems: Multi-objective Hardware Evolution

Toward Self-adaptive Embedded Systems: Multi-objective Hardware Evolution Toward Self-adaptive Embedded Systems: Multi-objective Hardware Evolution Paul Kaufmann and Marco Platzner University of Paderborn Abstract. Evolutionary hardware design reveals the potential to provide

More information

On-Chip Evolution Using a Soft Processor Core Applied to Image Recognition

On-Chip Evolution Using a Soft Processor Core Applied to Image Recognition On-Chip Evolution Using a Soft Processor Core Applied to Image Recognition Kyrre Glette and Jim Torresen University of Oslo Department of Informatics PO Box 1080 Blindern, 0316 Oslo, Norway {kyrrehg,jimtoer}@ifiuiono

More information

CHAPTER 5. CHE BASED SoPC FOR EVOLVABLE HARDWARE

CHAPTER 5. CHE BASED SoPC FOR EVOLVABLE HARDWARE 90 CHAPTER 5 CHE BASED SoPC FOR EVOLVABLE HARDWARE A hardware architecture that implements the GA for EHW is presented in this chapter. This SoPC (System on Programmable Chip) architecture is also designed

More information

hardware classifier of sonar signals on a 28 nm process technology FPGA, and compare

hardware classifier of sonar signals on a 28 nm process technology FPGA, and compare Noname manuscript No. (will be inserted by the editor) Comparing Three Online Evolvable Hardware Implementations of a Classification System Oscar Garnica Kyrre Glette Jim Torresen Received: date / Accepted:

More information

Design of memory efficient FIFO-based merge sorter

Design of memory efficient FIFO-based merge sorter LETTER IEICE Electronics Express, Vol.15, No.5, 1 11 Design of memory efficient FIFO-based merge sorter Youngil Kim a), Seungdo Choi, and Yong Ho Song Department of Electronics and Computer Engineering,

More information

System Verification of Hardware Optimization Based on Edge Detection

System Verification of Hardware Optimization Based on Edge Detection Circuits and Systems, 2013, 4, 293-298 http://dx.doi.org/10.4236/cs.2013.43040 Published Online July 2013 (http://www.scirp.org/journal/cs) System Verification of Hardware Optimization Based on Edge Detection

More information

Kyrre Glette INF3490 Evolvable Hardware Cartesian Genetic Programming

Kyrre Glette INF3490 Evolvable Hardware Cartesian Genetic Programming Kyrre Glette kyrrehg@ifi INF3490 Evolvable Hardware Cartesian Genetic Programming Overview Introduction to Evolvable Hardware (EHW) Cartesian Genetic Programming Applications of EHW 3 Evolvable Hardware

More information

A Novel Design Framework for the Design of Reconfigurable Systems based on NoCs

A Novel Design Framework for the Design of Reconfigurable Systems based on NoCs Politecnico di Milano & EPFL A Novel Design Framework for the Design of Reconfigurable Systems based on NoCs Vincenzo Rana, Ivan Beretta, Donatella Sciuto Donatella Sciuto sciuto@elet.polimi.it Introduction

More information

High Speed Pipelined Architecture for Adaptive Median Filter

High Speed Pipelined Architecture for Adaptive Median Filter Abstract High Speed Pipelined Architecture for Adaptive Median Filter D.Dhanasekaran, and **Dr.K.Boopathy Bagan *Assistant Professor, SVCE, Pennalur,Sriperumbudur-602105. **Professor, Madras Institute

More information

Contents. Index... 11

Contents. Index... 11 Contents 1 Modular Cartesian Genetic Programming........................ 1 1 Embedded Cartesian Genetic Programming (ECGP)............ 1 1.1 Cone-based and Age-based Module Creation.......... 1 1.2 Cone-based

More information

Hardware Description of Multi-Directional Fast Sobel Edge Detection Processor by VHDL for Implementing on FPGA

Hardware Description of Multi-Directional Fast Sobel Edge Detection Processor by VHDL for Implementing on FPGA Hardware Description of Multi-Directional Fast Sobel Edge Detection Processor by VHDL for Implementing on FPGA Arash Nosrat Faculty of Engineering Shahid Chamran University Ahvaz, Iran Yousef S. Kavian

More information

ISSN Vol.05,Issue.09, September-2017, Pages:

ISSN Vol.05,Issue.09, September-2017, Pages: WWW.IJITECH.ORG ISSN 2321-8665 Vol.05,Issue.09, September-2017, Pages:1693-1697 AJJAM PUSHPA 1, C. H. RAMA MOHAN 2 1 PG Scholar, Dept of ECE(DECS), Shirdi Sai Institute of Science and Technology, Anantapuramu,

More information

Genetic Image Network for Image Classification

Genetic Image Network for Image Classification Genetic Image Network for Image Classification Shinichi Shirakawa, Shiro Nakayama, and Tomoharu Nagao Graduate School of Environment and Information Sciences, Yokohama National University, 79-7, Tokiwadai,

More information

Applied Cloning Techniques for a Genetic Algorithm Used in Evolvable Hardware Design

Applied Cloning Techniques for a Genetic Algorithm Used in Evolvable Hardware Design Applied Cloning Techniques for a Genetic Algorithm Used in Evolvable Hardware Design Viet C. Trinh vtrinh@isl.ucf.edu Gregory A. Holifield greg.holifield@us.army.mil School of Electrical Engineering and

More information

A reversible data hiding based on adaptive prediction technique and histogram shifting

A reversible data hiding based on adaptive prediction technique and histogram shifting A reversible data hiding based on adaptive prediction technique and histogram shifting Rui Liu, Rongrong Ni, Yao Zhao Institute of Information Science Beijing Jiaotong University E-mail: rrni@bjtu.edu.cn

More information

Improving Energy Efficiency of Block-Matching Motion Estimation Using Dynamic Partial Reconfiguration

Improving Energy Efficiency of Block-Matching Motion Estimation Using Dynamic Partial Reconfiguration , pp.517-521 http://dx.doi.org/10.14257/astl.2015.1 Improving Energy Efficiency of Block-Matching Motion Estimation Using Dynamic Partial Reconfiguration Jooheung Lee 1 and Jungwon Cho 2, * 1 Dept. of

More information

Express Letters. A Simple and Efficient Search Algorithm for Block-Matching Motion Estimation. Jianhua Lu and Ming L. Liou

Express Letters. A Simple and Efficient Search Algorithm for Block-Matching Motion Estimation. Jianhua Lu and Ming L. Liou IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS FOR VIDEO TECHNOLOGY, VOL. 7, NO. 2, APRIL 1997 429 Express Letters A Simple and Efficient Search Algorithm for Block-Matching Motion Estimation Jianhua Lu and

More information

Accelerating DSP Applications in Embedded Systems with a Coprocessor Data-Path

Accelerating DSP Applications in Embedded Systems with a Coprocessor Data-Path Accelerating DSP Applications in Embedded Systems with a Coprocessor Data-Path Michalis D. Galanis, Gregory Dimitroulakos, and Costas E. Goutis VLSI Design Laboratory, Electrical and Computer Engineering

More information

FPGA: What? Why? Marco D. Santambrogio

FPGA: What? Why? Marco D. Santambrogio FPGA: What? Why? Marco D. Santambrogio marco.santambrogio@polimi.it 2 Reconfigurable Hardware Reconfigurable computing is intended to fill the gap between hardware and software, achieving potentially much

More information

Soft-Core Embedded Processor-Based Built-In Self- Test of FPGAs: A Case Study

Soft-Core Embedded Processor-Based Built-In Self- Test of FPGAs: A Case Study Soft-Core Embedded Processor-Based Built-In Self- Test of FPGAs: A Case Study Bradley F. Dutton, Graduate Student Member, IEEE, and Charles E. Stroud, Fellow, IEEE Dept. of Electrical and Computer Engineering

More information

Hardware Acceleration of Edge Detection Algorithm on FPGAs

Hardware Acceleration of Edge Detection Algorithm on FPGAs Hardware Acceleration of Edge Detection Algorithm on FPGAs Muthukumar Venkatesan and Daggu Venkateshwar Rao Department of Electrical and Computer Engineering University of Nevada Las Vegas. Las Vegas NV

More information

Improving Reconfiguration Speed for Dynamic Circuit Specialization using Placement Constraints

Improving Reconfiguration Speed for Dynamic Circuit Specialization using Placement Constraints Improving Reconfiguration Speed for Dynamic Circuit Specialization using Placement Constraints Amit Kulkarni, Tom Davidson, Karel Heyse, and Dirk Stroobandt ELIS department, Computer Systems Lab, Ghent

More information

1. Introduction. 2. Motivation and Problem Definition. Volume 8 Issue 2, February Susmita Mohapatra

1. Introduction. 2. Motivation and Problem Definition. Volume 8 Issue 2, February Susmita Mohapatra Pattern Recall Analysis of the Hopfield Neural Network with a Genetic Algorithm Susmita Mohapatra Department of Computer Science, Utkal University, India Abstract: This paper is focused on the implementation

More information

Leso Martin, Musil Tomáš

Leso Martin, Musil Tomáš SAFETY CORE APPROACH FOR THE SYSTEM WITH HIGH DEMANDS FOR A SAFETY AND RELIABILITY DESIGN IN A PARTIALLY DYNAMICALLY RECON- FIGURABLE FIELD-PROGRAMMABLE GATE ARRAY (FPGA) Leso Martin, Musil Tomáš Abstract:

More information

An adaptive genetic algorithm for dynamically reconfigurable modules allocation

An adaptive genetic algorithm for dynamically reconfigurable modules allocation An adaptive genetic algorithm for dynamically reconfigurable modules allocation Vincenzo Rana, Chiara Sandionigi, Marco Santambrogio and Donatella Sciuto chiara.sandionigi@dresd.org, {rana, santambr, sciuto}@elet.polimi.it

More information

Exploiting On-Chip Data Transfers for Improving Performance of Chip-Scale Multiprocessors

Exploiting On-Chip Data Transfers for Improving Performance of Chip-Scale Multiprocessors Exploiting On-Chip Data Transfers for Improving Performance of Chip-Scale Multiprocessors G. Chen 1, M. Kandemir 1, I. Kolcu 2, and A. Choudhary 3 1 Pennsylvania State University, PA 16802, USA 2 UMIST,

More information

A Reconfigurable MapReduce Accelerator for multi-core all-programmable SoCs

A Reconfigurable MapReduce Accelerator for multi-core all-programmable SoCs A Reconfigurable MapReduce Accelerator for multi-core all-programmable SoCs Christoforos Kachris, Georgios Ch. Sirakoulis Department of Electrical & Computer Engineering Democritus University of Thrace,

More information

Fault Tolerance Analysis and Self-Healing Strategy of Autonomous, Evolvable Hardware Systems

Fault Tolerance Analysis and Self-Healing Strategy of Autonomous, Evolvable Hardware Systems 2011 International Conference on Reconfigurable Computing and FPGAs Fault Tolerance Analysis and Self-Healing Strategy of Autonomous, Evolvable Hardware Systems Ruben Salvador*, Andres Otero*, Javier Mora*,

More information

Combinational Circuit Design Using Genetic Algorithms

Combinational Circuit Design Using Genetic Algorithms Combinational Circuit Design Using Genetic Algorithms Nithyananthan K Bannari Amman institute of technology M.E.Embedded systems, Anna University E-mail:nithyananthan.babu@gmail.com Abstract - In the paper

More information

Hardware Neuronale Netzwerke - Lernen durch künstliche Evolution (?)

Hardware Neuronale Netzwerke - Lernen durch künstliche Evolution (?) SKIP - May 2004 Hardware Neuronale Netzwerke - Lernen durch künstliche Evolution (?) S. G. Hohmann, Electronic Vision(s), Kirchhoff Institut für Physik, Universität Heidelberg Hardware Neuronale Netzwerke

More information

Extrinsic and Intrinsic Evolution of Multifunctional Combinational Modules

Extrinsic and Intrinsic Evolution of Multifunctional Combinational Modules 2006 IEEE Congress on Evolutionary Computation Sheraton Vancouver Wall Centre Hotel, Vancouver, BC, Canada July 6-2, 2006 Extrinsic and Intrinsic Evolution of Multifunctional Combinational Modules Lukas

More information

Designing digital circuits for FPGAs using parallel genetic algorithms (WIP)

Designing digital circuits for FPGAs using parallel genetic algorithms (WIP) Designing digital circuits for FPGAs using parallel genetic algorithms (WIP) Rizwan A. Ashraf, Francis Luna, Damian Dechev and Ronald F. DeMara Department of Electrical Engineering and Computer Science

More information

A Device-Controlled Dynamic Configuration Framework Supporting Heterogeneous Resource Management

A Device-Controlled Dynamic Configuration Framework Supporting Heterogeneous Resource Management A Device-Controlled Dynamic Configuration Framework Supporting Heterogeneous Resource Management H. Tan and R. F. DeMara Department of Electrical and Computer Engineering University of Central Florida

More information

Evolutionary Approximation of Edge Detection Circuits

Evolutionary Approximation of Edge Detection Circuits Evolutionary Approximation of Edge Detection Circuits Petr Dvoracek and Lukas Sekanina Brno University of Technology, Faculty of Information Technology, IT4Innovations Centre of Excellence Božetěchova

More information

Research Article Path Planning Using a Hybrid Evolutionary Algorithm Based on Tree Structure Encoding

Research Article Path Planning Using a Hybrid Evolutionary Algorithm Based on Tree Structure Encoding e Scientific World Journal, Article ID 746260, 8 pages http://dx.doi.org/10.1155/2014/746260 Research Article Path Planning Using a Hybrid Evolutionary Algorithm Based on Tree Structure Encoding Ming-Yi

More information

A Web-Based Evolutionary Algorithm Demonstration using the Traveling Salesman Problem

A Web-Based Evolutionary Algorithm Demonstration using the Traveling Salesman Problem A Web-Based Evolutionary Algorithm Demonstration using the Traveling Salesman Problem Richard E. Mowe Department of Statistics St. Cloud State University mowe@stcloudstate.edu Bryant A. Julstrom Department

More information

RUN-TIME RECONFIGURABLE IMPLEMENTATION OF DSP ALGORITHMS USING DISTRIBUTED ARITHMETIC. Zoltan Baruch

RUN-TIME RECONFIGURABLE IMPLEMENTATION OF DSP ALGORITHMS USING DISTRIBUTED ARITHMETIC. Zoltan Baruch RUN-TIME RECONFIGURABLE IMPLEMENTATION OF DSP ALGORITHMS USING DISTRIBUTED ARITHMETIC Zoltan Baruch Computer Science Department, Technical University of Cluj-Napoca, 26-28, Bariţiu St., 3400 Cluj-Napoca,

More information

Developing a Data Driven System for Computational Neuroscience

Developing a Data Driven System for Computational Neuroscience Developing a Data Driven System for Computational Neuroscience Ross Snider and Yongming Zhu Montana State University, Bozeman MT 59717, USA Abstract. A data driven system implies the need to integrate

More information

A Simplification Method of Polymorphic Boolean Functions

A Simplification Method of Polymorphic Boolean Functions A Simplification Method of Polymorphic Boolean Functions Wenjian Luo and Zhifang Li Abstract Polymorphic circuits are a special kind of circuits which possess multiple build-in functions, and these functions

More information

International Journal of Scientific & Engineering Research, Volume 8, Issue 1, January ISSN

International Journal of Scientific & Engineering Research, Volume 8, Issue 1, January ISSN International Journal of Scientific & Engineering Research, Volume 8, Issue 1, January-2017 550 Using Neuro Fuzzy and Genetic Algorithm for Image Denoising Shaymaa Rashid Saleh Raidah S. Khaudeyer Abstract

More information

A Configurable Multi-Ported Register File Architecture for Soft Processor Cores

A Configurable Multi-Ported Register File Architecture for Soft Processor Cores A Configurable Multi-Ported Register File Architecture for Soft Processor Cores Mazen A. R. Saghir and Rawan Naous Department of Electrical and Computer Engineering American University of Beirut P.O. Box

More information

Using Dynamic Voltage Scaling to Reduce the Configuration Energy of Run Time Reconfigurable Devices

Using Dynamic Voltage Scaling to Reduce the Configuration Energy of Run Time Reconfigurable Devices Using Dynamic Voltage Scaling to Reduce the Configuration Energy of Run Time Reconfigurable Devices Yang Qu 1, Juha-Pekka Soininen 1 and Jari Nurmi 2 1 Technical Research Centre of Finland (VTT), Kaitoväylä

More information

Hardware Implementation for Design of Modified Adaptive Median Filter for Image Processing

Hardware Implementation for Design of Modified Adaptive Median Filter for Image Processing IJCSNS International Journal of Computer Science and Network Security, VOL.10 No.3, March 2010 93 Hardware Implementation for Design of Modified Adaptive Median Filter for Image Processing Ch.Ravi Kumar

More information

Reversible Image Data Hiding with Local Adaptive Contrast Enhancement

Reversible Image Data Hiding with Local Adaptive Contrast Enhancement Reversible Image Data Hiding with Local Adaptive Contrast Enhancement Ruiqi Jiang, Weiming Zhang, Jiajia Xu, Nenghai Yu and Xiaocheng Hu Abstract Recently, a novel reversible data hiding scheme is proposed

More information

Massively Parallel Computing on Silicon: SIMD Implementations. V.M.. Brea Univ. of Santiago de Compostela Spain

Massively Parallel Computing on Silicon: SIMD Implementations. V.M.. Brea Univ. of Santiago de Compostela Spain Massively Parallel Computing on Silicon: SIMD Implementations V.M.. Brea Univ. of Santiago de Compostela Spain GOAL Give an overview on the state-of of-the- art of Digital on-chip CMOS SIMD Solutions,

More information

Preprocessing of Stream Data using Attribute Selection based on Survival of the Fittest

Preprocessing of Stream Data using Attribute Selection based on Survival of the Fittest Preprocessing of Stream Data using Attribute Selection based on Survival of the Fittest Bhakti V. Gavali 1, Prof. Vivekanand Reddy 2 1 Department of Computer Science and Engineering, Visvesvaraya Technological

More information

Evolving SQL Queries for Data Mining

Evolving SQL Queries for Data Mining Evolving SQL Queries for Data Mining Majid Salim and Xin Yao School of Computer Science, The University of Birmingham Edgbaston, Birmingham B15 2TT, UK {msc30mms,x.yao}@cs.bham.ac.uk Abstract. This paper

More information

Automatic Creation of Digital Fast Adder Circuits by Means of Genetic Programming

Automatic Creation of Digital Fast Adder Circuits by Means of Genetic Programming 1 Automatic Creation of Digital Fast Adder Circuits by Means of Genetic Programming Karim Nassar Lockheed Martin Missiles and Space 1111 Lockheed Martin Way Sunnyvale, CA 94089 Karim.Nassar@lmco.com 408-742-9915

More information

An Adaptive Hardware Classifier in FPGA based-on a Cellular Compact Genetic Algorithm and Block-based Neural Network

An Adaptive Hardware Classifier in FPGA based-on a Cellular Compact Genetic Algorithm and Block-based Neural Network An Adaptive Hardware Classifier in FPGA based-on a Cellular Compact Genetic Algorithm and Block-based Neural Network Yutana Jewajinda National Electronics and Computer Technology Center National Science

More information

Implementation of a FIR Filter on a Partial Reconfigurable Platform

Implementation of a FIR Filter on a Partial Reconfigurable Platform Implementation of a FIR Filter on a Partial Reconfigurable Platform Hanho Lee and Chang-Seok Choi School of Information and Communication Engineering Inha University, Incheon, 402-751, Korea hhlee@inha.ac.kr

More information

Genetic Algorithms. Kang Zheng Karl Schober

Genetic Algorithms. Kang Zheng Karl Schober Genetic Algorithms Kang Zheng Karl Schober Genetic algorithm What is Genetic algorithm? A genetic algorithm (or GA) is a search technique used in computing to find true or approximate solutions to optimization

More information

CHAPTER 6 HYBRID AI BASED IMAGE CLASSIFICATION TECHNIQUES

CHAPTER 6 HYBRID AI BASED IMAGE CLASSIFICATION TECHNIQUES CHAPTER 6 HYBRID AI BASED IMAGE CLASSIFICATION TECHNIQUES 6.1 INTRODUCTION The exploration of applications of ANN for image classification has yielded satisfactory results. But, the scope for improving

More information

Area And Power Optimized One-Dimensional Median Filter

Area And Power Optimized One-Dimensional Median Filter Area And Power Optimized One-Dimensional Median Filter P. Premalatha, Ms. P. Karthika Rani, M.E., PG Scholar, Assistant Professor, PA College of Engineering and Technology, PA College of Engineering and

More information

Fully Integrated Communication Terminal and Equipment. FlexWave II :Executive Summary

Fully Integrated Communication Terminal and Equipment. FlexWave II :Executive Summary Fully Integrated Communication Terminal and Equipment FlexWave II :Executive Specification : Executive, D36B Authors : J. Bormans Document no. : Status : Issue Date : July 2005 ESTEC Contract : 376/99/NL/FM(SC)

More information

Single-Frame Image Processing Techniques for Low-SNR Infrared Imagery

Single-Frame Image Processing Techniques for Low-SNR Infrared Imagery Single-Frame Image Processing Techniques for Low-SNR Infrared Imagery Richard Edmondson, Michael Rodgers, Michele Banish, Michelle Johnson Sensor Technologies Huntsville, AL Heggere Ranganath University

More information

A Parallel Evolutionary Algorithm for Discovery of Decision Rules

A Parallel Evolutionary Algorithm for Discovery of Decision Rules A Parallel Evolutionary Algorithm for Discovery of Decision Rules Wojciech Kwedlo Faculty of Computer Science Technical University of Bia lystok Wiejska 45a, 15-351 Bia lystok, Poland wkwedlo@ii.pb.bialystok.pl

More information

A NEW ROBUST IMAGE WATERMARKING SCHEME BASED ON DWT WITH SVD

A NEW ROBUST IMAGE WATERMARKING SCHEME BASED ON DWT WITH SVD A NEW ROBUST IMAGE WATERMARKING SCHEME BASED ON WITH S.Shanmugaprabha PG Scholar, Dept of Computer Science & Engineering VMKV Engineering College, Salem India N.Malmurugan Director Sri Ranganathar Institute

More information

Optimized architectures of CABAC codec for IA-32-, DSP- and FPGAbased

Optimized architectures of CABAC codec for IA-32-, DSP- and FPGAbased Optimized architectures of CABAC codec for IA-32-, DSP- and FPGAbased platforms Damian Karwowski, Marek Domański Poznan University of Technology, Chair of Multimedia Telecommunications and Microelectronics

More information

Placement Algorithm for FPGA Circuits

Placement Algorithm for FPGA Circuits Placement Algorithm for FPGA Circuits ZOLTAN BARUCH, OCTAVIAN CREŢ, KALMAN PUSZTAI Computer Science Department, Technical University of Cluj-Napoca, 26, Bariţiu St., 3400 Cluj-Napoca, Romania {Zoltan.Baruch,

More information

FPGA Implementation of a Nonlinear Two Dimensional Fuzzy Filter

FPGA Implementation of a Nonlinear Two Dimensional Fuzzy Filter Justin G. R. Delva, Ali M. Reza, and Robert D. Turney + + CORE Solutions Group, Xilinx San Jose, CA 9514-3450, USA Department of Electrical Engineering and Computer Science, UWM Milwaukee, Wisconsin 5301-0784,

More information

Parallel graph traversal for FPGA

Parallel graph traversal for FPGA LETTER IEICE Electronics Express, Vol.11, No.7, 1 6 Parallel graph traversal for FPGA Shice Ni a), Yong Dou, Dan Zou, Rongchun Li, and Qiang Wang National Laboratory for Parallel and Distributed Processing,

More information

On Evolutionary Synthesis of Linear Transforms in FPGA

On Evolutionary Synthesis of Linear Transforms in FPGA On Evolutionary Synthesis of Linear Transforms in FPGA Zdeněk Vašíček, Martin Žádník, Lukáš Sekanina and Jiří Tobola Faculty of Information Technology, Brno University of Technology Božetěchova 2, 62 66

More information

A new predictive image compression scheme using histogram analysis and pattern matching

A new predictive image compression scheme using histogram analysis and pattern matching University of Wollongong Research Online University of Wollongong in Dubai - Papers University of Wollongong in Dubai 00 A new predictive image compression scheme using histogram analysis and pattern matching

More information

Implementation and Analysis of an Error Detection and Correction System on FPGA

Implementation and Analysis of an Error Detection and Correction System on FPGA Implementation and Analysis of an Error Detection and Correction System on FPGA Constantin Anton, Laurenţiu Mihai Ionescu, Ion Tutănescu, Alin Mazăre, Gheorghe Şerban University of Piteşti, Romania Abstract

More information

Lab 3 Sequential Logic for Synthesis. FPGA Design Flow.

Lab 3 Sequential Logic for Synthesis. FPGA Design Flow. Lab 3 Sequential Logic for Synthesis. FPGA Design Flow. Task 1 Part 1 Develop a VHDL description of a Debouncer specified below. The following diagram shows the interface of the Debouncer. The following

More information

Scalable Evolvable Hardware Applied to Road Image Recognition

Scalable Evolvable Hardware Applied to Road Image Recognition Scalable Evolvable Hardware Applied to Road Image Recognition Jim Torresen Department of Informatics University of Oslo P.O. Box 1080 Blindern N-0316 Oslo, Norway E-mail: jimtoer@ifi.uio.no Phone: +47

More information

Modified SPIHT Image Coder For Wireless Communication

Modified SPIHT Image Coder For Wireless Communication Modified SPIHT Image Coder For Wireless Communication M. B. I. REAZ, M. AKTER, F. MOHD-YASIN Faculty of Engineering Multimedia University 63100 Cyberjaya, Selangor Malaysia Abstract: - The Set Partitioning

More information

High-speed FPGA-based Implementations of a Genetic Algorithm

High-speed FPGA-based Implementations of a Genetic Algorithm High-speed FPGA-based Implementations of a Genetic Algorithm Michalis Vavouras Kyprianos Papadimitriou Ioannis Papaefstathiou Department of Electronic and Computer Engineering Technical University of Crete

More information

Hardware Implementation of GA.

Hardware Implementation of GA. Chapter 6 Hardware Implementation of GA Matti Tommiska and Jarkko Vuori Helsinki University of Technology Otakaari 5A, FIN-02150 ESPOO, Finland E-mail: Matti.Tommiska@hut.fi, Jarkko.Vuori@hut.fi Abstract.

More information

A Fourier Extension Based Algorithm for Impulse Noise Removal

A Fourier Extension Based Algorithm for Impulse Noise Removal A Fourier Extension Based Algorithm for Impulse Noise Removal H. Sahoolizadeh, R. Rajabioun *, M. Zeinali Abstract In this paper a novel Fourier extension based algorithm is introduced which is able to

More information

IJESRT INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY FPGA

IJESRT INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY FPGA IJESRT INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY FPGA Implementations of Tiny Mersenne Twister Guoping Wang Department of Engineering, Indiana University Purdue University Fort

More information

Job Re-Packing for Enhancing the Performance of Gang Scheduling

Job Re-Packing for Enhancing the Performance of Gang Scheduling Job Re-Packing for Enhancing the Performance of Gang Scheduling B. B. Zhou 1, R. P. Brent 2, C. W. Johnson 3, and D. Walsh 3 1 Computer Sciences Laboratory, Australian National University, Canberra, ACT

More information

PERFORMANCE ANALYSIS OF HIGH EFFICIENCY LOW DENSITY PARITY-CHECK CODE DECODER FOR LOW POWER APPLICATIONS

PERFORMANCE ANALYSIS OF HIGH EFFICIENCY LOW DENSITY PARITY-CHECK CODE DECODER FOR LOW POWER APPLICATIONS American Journal of Applied Sciences 11 (4): 558-563, 2014 ISSN: 1546-9239 2014 Science Publication doi:10.3844/ajassp.2014.558.563 Published Online 11 (4) 2014 (http://www.thescipub.com/ajas.toc) PERFORMANCE

More information

Parallelized Radix-4 Scalable Montgomery Multipliers

Parallelized Radix-4 Scalable Montgomery Multipliers Parallelized Radix-4 Scalable Montgomery Multipliers Nathaniel Pinckney and David Money Harris 1 1 Harvey Mudd College, 301 Platt. Blvd., Claremont, CA, USA e-mail: npinckney@hmc.edu ABSTRACT This paper

More information

2 TEST: A Tracer for Extracting Speculative Threads

2 TEST: A Tracer for Extracting Speculative Threads EE392C: Advanced Topics in Computer Architecture Lecture #11 Polymorphic Processors Stanford University Handout Date??? On-line Profiling Techniques Lecture #11: Tuesday, 6 May 2003 Lecturer: Shivnath

More information

Design Space Exploration Using Parameterized Cores

Design Space Exploration Using Parameterized Cores RESEARCH CENTRE FOR INTEGRATED MICROSYSTEMS UNIVERSITY OF WINDSOR Design Space Exploration Using Parameterized Cores Ian D. L. Anderson M.A.Sc. Candidate March 31, 2006 Supervisor: Dr. M. Khalid 1 OUTLINE

More information

SIGNAL COMPRESSION. 9. Lossy image compression: SPIHT and S+P

SIGNAL COMPRESSION. 9. Lossy image compression: SPIHT and S+P SIGNAL COMPRESSION 9. Lossy image compression: SPIHT and S+P 9.1 SPIHT embedded coder 9.2 The reversible multiresolution transform S+P 9.3 Error resilience in embedded coding 178 9.1 Embedded Tree-Based

More information

A Hardware Task-Graph Scheduler for Reconfigurable Multi-tasking Systems

A Hardware Task-Graph Scheduler for Reconfigurable Multi-tasking Systems A Hardware Task-Graph Scheduler for Reconfigurable Multi-tasking Systems Abstract Reconfigurable hardware can be used to build a multitasking system where tasks are assigned to HW resources at run-time

More information

A Methodology for Energy Efficient FPGA Designs Using Malleable Algorithms

A Methodology for Energy Efficient FPGA Designs Using Malleable Algorithms A Methodology for Energy Efficient FPGA Designs Using Malleable Algorithms Jingzhao Ou and Viktor K. Prasanna Department of Electrical Engineering, University of Southern California Los Angeles, California,

More information

A Modified Genetic Algorithm for Process Scheduling in Distributed System

A Modified Genetic Algorithm for Process Scheduling in Distributed System A Modified Genetic Algorithm for Process Scheduling in Distributed System Vinay Harsora B.V.M. Engineering College Charatar Vidya Mandal Vallabh Vidyanagar, India Dr.Apurva Shah G.H.Patel College of Engineering

More information

A Two Dimensional Median Filter Design Using Low Power Filter Architecture

A Two Dimensional Median Filter Design Using Low Power Filter Architecture IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p- ISSN: 2278-8735 PP 26-31 www.iosrjournals.org A Two Dimensional Median Filter Design Using Low Power Filter Architecture

More information

A Library of Parameterized Floating-point Modules and Their Use

A Library of Parameterized Floating-point Modules and Their Use A Library of Parameterized Floating-point Modules and Their Use Pavle Belanović and Miriam Leeser Department of Electrical and Computer Engineering Northeastern University Boston, MA, 02115, USA {pbelanov,mel}@ece.neu.edu

More information

Mobile Robot Path Planning Software and Hardware Implementations

Mobile Robot Path Planning Software and Hardware Implementations Mobile Robot Path Planning Software and Hardware Implementations Lucia Vacariu, Flaviu Roman, Mihai Timar, Tudor Stanciu, Radu Banabic, Octavian Cret Computer Science Department, Technical University of

More information

Digital Image Steganography Using Bit Flipping

Digital Image Steganography Using Bit Flipping BULGARIAN ACADEMY OF SCIENCES CYBERNETICS AND INFORMATION TECHNOLOGIES Volume 18, No 1 Sofia 2018 Print ISSN: 1311-9702; Online ISSN: 1314-4081 DOI: 10.2478/cait-2018-0006 Digital Image Steganography Using

More information

Genetic Algorithm for FPGA Placement

Genetic Algorithm for FPGA Placement Genetic Algorithm for FPGA Placement Zoltan Baruch, Octavian Creţ, and Horia Giurgiu Computer Science Department, Technical University of Cluj-Napoca, 26, Bariţiu St., 3400 Cluj-Napoca, Romania {Zoltan.Baruch,

More information

High Speed Systolic Montgomery Modular Multipliers for RSA Cryptosystems

High Speed Systolic Montgomery Modular Multipliers for RSA Cryptosystems High Speed Systolic Montgomery Modular Multipliers for RSA Cryptosystems RAVI KUMAR SATZODA, CHIP-HONG CHANG and CHING-CHUEN JONG Centre for High Performance Embedded Systems Nanyang Technological University

More information