Embedded SDR System Design Case Study: An Implementation Perspective

Size: px
Start display at page:

Download "Embedded SDR System Design Case Study: An Implementation Perspective"

Transcription

1 Embedded SDR System Design Case Study: An Implementation Perspective Almohanad S. Fayez, Nicholas J. Kaminski, Alexander R. Young, Charles W. Bostian Bradley Department of Electrical and Computer Engineering Virgina Tech, Blacksburg, VA {afayez, njkamin, alex.young, Abstract Cognitive Radios (CRs) and Software Defined Radios (SDRs) have ubiquitous applications ranging from handheld to base station devices. In order to meet the computational requirements of such radios, computing heterogeneity, the mixed usage of General Purpose Processors (GPPs), Digital Signal Processors (DSPs), and Field-Programmable Gate Arrays (FPGAs), is attractive. Developing SDR and CR applications already requires a diverse set of skills, and computing heterogeneity further complicates the process. This paper presents a developmental workflow used successfully by the authors for SDR and CR application running on a platform combining DSP and GPP based processors. The paper discusses tools used to set up the platform, create compilation environment, develop code for GPP/DSP communication, integrate the DSP into GNU Radio, and use the environment to develop SDR/CR applications. It presents a case study showing how computing heterogeneity can be used to address diverse application needs. Keywords-embedded sdr; system design; software defined radio; cognitive radio I. INTRODUCTION SDR has a wide domain, varying from handsets to base stations. In accommodating the processing needs of this vast application space, computational heterogeneity, the mixed use of GPPs, FPGAs, DSPs, is necessary. Development is further complicated by having to address issues like platform set up, multi toolset development, inter-processor communication, and realizing functional prototypes. This paper presents a case study of developing SDR and CR applications in such computing environments focusing on GPP/DSP processors and discusses some of the challenges and issues from an implementation perspective. We started investigating the implementation of SDR/CR on GPP/DSP processors in an effort to migrate the Virginia Tech Public Safety Cognitive Radio (PSCR) [1], a CR designed to address radio interoperability issues for public safety applications developed in our lab, from a GPP laptopbased implementation to an embedded platform. This paper discusses some existing technologies used in addition to new work developed. The paper is organized as follows. The first section discusses the platform selection process summarizing the general categories of platforms. The second section discusses the tools and software environments available to target GPP/DSP based SDR platforms, focusing on the Texas Instrument (TI) OMAP3530 processor. The third section discusses some aspects of developing and constructing applications on such platforms. II. PLATFORM SELECTION An SDR depends on software to realize radio functionality and relies on some supporting hardware for transmitting and receiving the physical radio signals. The general components of a platform are as follows, and are summarized in Figure 1: 1) Programmable Radio Front-End: This contains analog RF components which have tunable RF parameters, an Analog-to-Digital Converter (ADC) to digitize analog RF signals, Digital-to- Analog Converter (DAC) to generate an RF signal from digital data, and a device to perform decimation and downconversion for receivers and interpolation and upconversion for transmitters, typically an FPGA. Manufacturers of such front-ends includes Ettus Reseach [2] and Lyrtech [3]. 2) Computational Platform: The computational element of the platform can include GPPs, DSPs, and/or FPGAs. The computational platform can be: a) homogeneous - composed of a single computational device or multiple computational devices of the same type e.g., one or more GPPs b) heterogeneous - composed of different computational devices, e.g., some mixture of GPP, DSP, and FPGA. 3) Integrated SDR Platform: An integrated SDR platform combines the computational elements and the programmable RF front-end into a single platform. Examples of such platforms includes the Universal Software Radio Peripheral (USRP) E100 [2], Lyrtech SFF SDR [3], and the Rice University WARP board [4]. An SDR platform can be constructed by combining a programmable RF front-end with a computational platform /12/$31.00 c 2012 IEEE

2 Figure 1: Block Diagram of SDR System Breakdown. [5] the target processor and platform devices, enabling it to build the necessary drivers. Operating System: Probably some Linux based Distro. Compiler: OE uses the GNU toolchain for cross compilation, and the user is able to specify which version to use. In developing code for the C64x+ DSP, the TI C6000 Code Generation Tools [9] DSP compiler was used. In addition, the DSP OS used was TI DSP BIOS, a lightweight real time library that provides basic run-time functionalities including thread scheduling, handling I/O, and capturing real-time information [10]. via an appropriate interface, as discussed in [6]. In selecting an SDR platform for the work in [7] and [5], we chose a first generation USRP as a programmable front-end and a Beagleboard [8] as the computational platform. They were connected via USB. The Beagleboard features a TI OMAP3530 processor which is composed of an ARMv7 GPP core and a TI C64x+ fixed point DSP. Eventually this platform was replaced with a USRP E100 which combines the programmable RF front-end and the computational platform in a single integrated system connected via the processor s bus instead of a USB peripheral interface. III. TOOLS AND SOFTWARE ENVIRONMENTS The software needed to construct SDR applications on an embedded platform can be divided into three main categories. 1) Embedded Development Framework: The framework includes the development tools necessary to set up and compile applications. For example, this would include cross-compilation and generating a filesystem for a GPPs or a DSPs, or synthesis tools for FPGAs. 2) SDR Software Toolkit: The toolkit would include basic blocks to be used for constructing SDR and CR applications. 3) Processor Interface: SDR platforms which contain multiple computational devices require a mean for computational devices to communicate and transfer information amongst themselves. A. Embedded Development Framework The embedded framework used in [5] and [7] and discussed here is OpenEmbedded (OE), which provides a set of instructions or recipes, interpreted and executed through Bitbake and the necessary tools to generate final binaries and a filesystem to run on the ARMv7. The OE environment is summarized in Figure 2. After selecting a platform to be used, developers would need to set up the OE environment by specifying: Embedded Platform, or Machine: This selection specifies developer s platform and, as a result, OE knows Figure 2: Description of the OE framework. [5] B. SDR Software Toolkit While an application developer can choose to implement all application functionalities, it can be of great benefit to leverage an existing SDR software toolkit such as GNU Radio [11] and OSSIE [12]. Ultimately GNU Radio was used and mechanisms to integrate the C64x+ DSP were added to GNU Radio in addition to C64x+ based blocks. C. Processor Interface The main existing SDR software toolkits, GNU Radio and OSSIE, mostly target GPP based SDR and CR application development. The Software Communications Architecture (SCA), on which OSSIE is based, attempted to extend its standard to cover DSP and FPGA development by defining the Modem Hardware Abstraction Layer (MHAL), a layer targeted to enable compatibility and reuse of DSP and FPGA based components. However, MHAL became International Traffic in Arms Regulation (ITAR) restricted which makes it largely inaccessible to the development community. Looking into technologies such as Common Object Request Broker Architecture (CORBA), which is a component of the SCA architecture, to integrate GPP and DSP components without considering the associated overhead might be disadvantageous. In [13], the authors explored integrating an FPGA

3 based FIR filter with a GPP using CORBA and found that the overhead is potentially too large to justify. In terms of the OMAP3530 processor there are existing mechanisms and tools to enable cross GPP/DSP communication. These tools provide mechanisms for basic processor control, data transfer, memory sharing/synchronization, messaging, among other functionalities. In terms of TI GPP/DSP OMAP processors, there are three inter-processor communication tools available: Codec Engine [14]: The Codec Engine relies on a server/client model for running DSP applications (or codecs) which adhere to the TI XDAIS standard as part of the Digital Video Software Development Kit (DVSDK) kit. The developer is able to instantiate and allocate system resources for DSP programs. Figure 3 summarizes this programming approach. Figure 3: Codec Engine Programming Approach. [5] DSPLink [15]: Provides processor control and data transfer capabilities over the shared memory region between the GPP and DSP core. Figure 4 illustrates the communication interface. Figure 5: SysLink Multi-Core Communication Interface. Syslink was not available when the work first started but it is worth investigating seeing that it can accommodate communication in a multi-core environment which may not have a shared memory interface. IV. DEVELOPMENT ENVIRONMENT The development environment for the GPP/DSP OMAP can be broken into two main domains: platform development and application development. The platform development domain deals with developing the necessary GPP/DSP communication support, DSP modules, and the interfaces for GNU Radio. While the application domain includes the physical applications development which utilizes the physical platform and the developed software support. A. Platform Development Figure 6 illustrates the overall platform development and interaction between components. Following is an explanation of the workflow from a bottom-up perspective. Figure 4: DSPLink Processor Communication. [5] SysLink [16]: Provides processor control and data transfer over multi-core architectures with different combinations of GPP and DSP cores. SysLink is not limited to a shared memory interface but can accommodate different interconnection between the cores, e.g., bus connection. Figure 5 illustrates the SysLink interface. When the work in [7] and [5] first started, DSPLink was chosen as the backbone of the GPP/DSP interface. Codec Engine provides a client/server model, where DSP code would need to be written according to a TI standard and run within their server framework. While DSPLink provides data structure components running directly on the shared memory region between the GPP and DSP allowing developers to have more control over the GPP/DSP communication. Figure 6: Platform Development Workflow. [5] 1) DSP Program: The DSP program is a Finite State Machine (FSM) which waits to set up and service DSP processing block requests. Thus, it will receive messages from the GPP requesting blocks such as FIR filters blocks including necessary parameters such as filter coefficients in request messages. After a block is set up on the DSP, the GPP starts sending data to be processed using the configured block. Each GPP/DSP message has the following fields:

4 Block Type: Indicates if this packet is to set up a FIR, modulation, demodulation block,... etc. Or it can be a data processing packet, where the block has already been set up and now the DSP needs to process the data. Block ID: A unique identifier for a requested block. Scaling Factor: The scaling factor used for the floating/fixed point conversion. Interpolation Factor: Indicates user requested interpolation factor. Decimation Factor: Indicates user requested decimation factor. Payload Size: Indicates the payload size in a message. Payload: The payload can be filter taps, for a FIR filter set up message, or IQ data for a data processing packet. Figure 7 illustrate the message format with the associated fields. Figure 7: GPP/DSP Message Format. [7] 2) easycom Library: easycom library was developed to allow developers to perform GPP and DSP interaction without having to deal with the specifics of the interprocessor communication. It was developed as a layer on top of DSPLink while hiding the details of the underlying function calls. This also enables platform developers to change the underlying inter-processor communication tools used without having to modify the applications running on the platform, e.g., switching from DSPLink to SysLink would be hidden from applications using the easycom library. The following is a list of the function calls available in the easycom library: Initialize DSP: Initializes the DSP and loads a specified DSP executable. Transmit data: Transmits data from the GPP to the DSP and it supports both complex and real data formats. Receive data: Receives data in the GPP from the DSP and it supports both complex and real data formats. Clear DSP: Deallocates DSP and processor interface resources. 3) Communication Overhead: Using the DSP as a coprocessor incurs an overhead. It is important to be able to measure and quantify the associated overhead to know if the system performance would actually improve, degrade, or remain the same. The flowgraph shown in Figure 8 is used to measure the overhead associated with using the DSP. The flowgraph inputs IQ data from a file, filters the data using a DSP based Finite Impulse Response (FIR) filter, and saves the final data into a file. There are additional functions to accommodate DSP processing, namely scaling which converts data between floating-point (on the GPP) and fixed-point (on the DSP), and copy buffer to transfer data between the GPP and DSP. The input IQ data is varied from to samples as discussed in [7]. The following scenarios are used to measure the overhead associated with using the DSP. Figure 8: GPP/DSP Message Format. Input/output time measurements: The time associated with reading IQ data from an input file and writing final data into an output file. The scenario measures overhead shared between a GPP implementation and a mixed GPP/DSP implementation. DSP loopback using fixed-point IQ data: Fixedpoint data is sent to the DSP and read back without performing any computation. By transferring fixedpoint data, the flowgraph measures the time associated with tranferring data between the GPP/DSP only. DSP loopback using floating-point IQ data: Floatingpoint data is sent to the DSP and read back without performing any computation. By transferring floatingpoint data, the flowgraph measures the time associated with converting data to and from fixed-point format and the time with transferring data between the GPP/DSP. FIR implementation: Measures execution time for GPP-based and DSP-based FIR filter implementation. The timing measurements for the various scenarios is summarized in Table I and Table II. Table I: Summary of IQ data overhead in the flow graphs and DSP overhead for buffer copies and data format conversion. Input Flowgraph setup Loopback without Loopback with IQ data I/O conversion Conversion (16-bit IQ pairs) (seconds) (seconds) (seconds) ) GNU Radio Blocks: GNU Radio blocks are written as discussed in [5] to interface with the DSP for OMAP processor. The blocks essentially generate messages and send them to the DSP requesting specific DSP blocks, e.g., FIR filters, modulation, demodulation,... etc, according to the message format discussed earlier. After these blocks are set up in the DSP, the GNU Radio blocks would

5 Table II: Summary of GPP/DSP based FIR filter execution times and DSP implementation speedup factor. Input GPP DSP Speedup (16-bit IQ pairs) (seconds) (seconds) subsequently transmit data to the DSP for processing and the DSP is able to associate the data with their respective DSP blocks via the unique block ID and forward the processed data back to the GPP. The blocks are implemented in C++ and are ultimately invoked in Python via the Simplified Wrapper and Interface Generator (SWIG) interface between the C++ implementation and the Python function calls. Figure 9 illustrates how C64x+ DSP blocks look from a GNU Radio user perspective. Figure 9: DSP Block Abstraction from GNU Radio Perspective. [7] The work discussed in this section: the DSP program, easycom library, and GNU Radio C64x+ blocks are available for download at [17]. B. Application Development For the purpose of application development, the platform as presented above appears as a black box to the application developer (see 10a). An API defines standardized interfaces to the platform, while hiding the lower level details. Using the methods that the API makes available in the application space, the developer can leverage the full capabilities of the platform without worrying about the lower level interactions and interdependencies. This means that a developer can take a pre-built application, drop it onto the embedded platform, and only have to make minor adjustments (see 10b). This smoothes the transition from GPP based systems to embedded platforms. The approach to embedded platform development presented here provides an excellent foundation for a number of applications. The strength of the approach is the flexibility (a) Bare Platform Figure 10: Platform View (b) Developer Platform Interaction to utilize embedded platforms while maintaining abstraction between the lower level details and the application developer s concerns. While the SDR system is an embedded platform, application developers still benefit from GPP development on the OMAP. With a standard Linux operating system installed, developers can leverage all the tools available in standard GPP-based development. This includes high level programming languages, debuggers, and customizable integrated development environments. Additionally, developers can leverage file I/O and extensive data logging and analysis. All these combine to enable rapid application development and deployment. The application development environment laid out as described was a benefit in our SDR application development efforts. Our application was originally written using Python on a high performance laptop. Python was chosen as flexible language ideally suited to rapid application development, easy to learn and use yet extremely powerful. Because of the design of the development environment with the platform black box and API, we were able to successfully transition our application from high performance laptop to embedded platform with minimal changes. V. CONCLUSION The paper presents a case study of implementing SDR and CR applications using embedded heterogeneous GPP/DSP based processors. It includes an overview of a development workflow used by the authors and discusses some of the tools and software which aid in realizing such applications on actual GPP/DSP platforms. ACKNOWLEDGMENT This project is supported by Award No SQ-B9- K011 awarded by the National Institute of Justice, Office of Justice Programs, US Department of Justice. The opinions, findings, and conclusions or recommendations expressed are those of the author and do not necessarily reflect the views of the Department of Justice.

6 This material is based on research sponsored by the Air Force Research Laboratory under Agreement #FA The views and conclusions contained herein are those of the authors and should not be interpreted as necessarily representing the official policies or endorsements, either expressed or implied, of Air Force Research Laboratory or the U.S. Government. Almohanad Fayez was supported by the Saudi Arabian Cultural Mission (SACM). Nicholas Kaminski was supported by a Bradley Fellowship through the Bradley Department of Electrical and Computer Engineering. [15] Dsp/bios link omap3530 evm, Texas Instrument. [16] Syslink user guide, Texas Instrument. [Online]. Available: UserGuide#Introduction [17] A. Fayez. [Online]. Available: REFERENCES [1] R. Rangnekar, F. Ge, A. Young, M. Silvius, A. Fayez, and C. Bostian, A remote control and service access scheme for a vehicular public safety cognitive radio, in Vehicular Technology Conference Fall (VTC 2009-Fall), 2009 IEEE 70th, 2009, pp [2] Universal software radio peripheral. [Online]. Available: [3] Lyrtech. [Online]. Available: [4] R. University, Rice warp board. [Online]. Available: [5] A. Fayez, Designing a Software Defined Radio to Run on a Heterogeneous Processor, M.S. Thesis, Virginia Polytechnic Institute and State University, [6] C. Anderson, G. Schaertl, and P. Balister, A low-cost embedded sdr solution for prototyping and experimentation, SDR Technical Conference and Product Exposition, December [7] A. Fayez, Q. Chen, J. Nounagnon, and C. Bostian, Leveraging embedded heterogeneous processors for software defined radio applications, Wireless Innovation Forum Conference and Product Exposition, December [8] Beagleboard, Beagleboard System Reference Manual Rev C4, Beagleboard, December [9] C6000 code generation tools, Texas Instrument. [10] Tms320c6000 dsp/bios user s guide, Texas Instrument. [11] GNU Radio. [Online]. Available: [12] MPRG, Ossie. [Online]. Available: [13] M. Carrick, S. Sayed, C. Dietrick, and J. Reed, Integration of fpgas into sdr via memory-mapped i/o, SDR Technical Conference and Product Exposition, December [14] Codec engine application developer user s guide, Texas Instrument.

Embedded SDR for Small Form Factor Systems

Embedded SDR for Small Form Factor Systems Embedded SDR for Small Form Factor Systems Philip Balister, Tom Tsou, and Jeff Reed MPRG Wireless @ Virginia Tech Blacksburg, VA 24060 balister@vt.edu Outline Embedded Software Defined Radio SDR Frameworks

More information

A Low-Cost Embedded SDR Solution for Prototyping and Experimentation

A Low-Cost Embedded SDR Solution for Prototyping and Experimentation A Low-Cost Embedded SDR Solution for Prototyping and Experimentation Christopher R. Anderson 1, George Schaertl 1, and Philip Balister 2 1 Wireless Measurements Group Electrical and Computer Engineering

More information

A Low-Cost Embedded SDR Solution for Prototyping and Experimentation

A Low-Cost Embedded SDR Solution for Prototyping and Experimentation A Low-Cost Embedded SDR Solution for Prototyping and Experimentation United States Naval Academy Dr. Christopher R. Anderson Ensign George Schaertl OpenSDR Mr. Philip Balister Presentation Overview Background

More information

Developing Core Software Technologies for TI s OMAP Platform

Developing Core Software Technologies for TI s OMAP Platform SWPY006 - August 2002 White Paper By Justin Helmig Texas Instruments Senior Technical Staff, Wireless Software Applications Texas Instruments OMAP platform for wireless handsets offers a powerful hardware

More information

: REAL TIME SYSTEMS LABORATORY DEVELOPMENT: EXPERIMENTS FOCUSING ON A DUAL CORE PROCESSOR

: REAL TIME SYSTEMS LABORATORY DEVELOPMENT: EXPERIMENTS FOCUSING ON A DUAL CORE PROCESSOR 26-797: REAL TIME SYSTEMS LABORATORY DEVELOPMENT: EXPERIMENTS FOCUSING ON A DUAL CORE PROCESSOR Mukul Shirvaikar, University of Texas-Tyler MUKUL SHIRVAIKAR received the Ph.D. degree in Electrical and

More information

DESIGN AND IMPLEMENTATION OF AN SCA CORE FRAMEWORK FOR A DSP PLATFORM

DESIGN AND IMPLEMENTATION OF AN SCA CORE FRAMEWORK FOR A DSP PLATFORM DESIGN AND IMPLEMENTATION OF AN SCA CORE FRAMEWORK FOR A DSP PLATFORM Carlos R. Aguayo Gonzalez (MPRG, Wireless@Virginia Tech, Blacksburg, VA, USA; caguayog@vt.edu); Francisco Portelinha (Universidade

More information

Lab 1. OMAP5912 Starter Kit (OSK5912)

Lab 1. OMAP5912 Starter Kit (OSK5912) Lab 1. OMAP5912 Starter Kit (OSK5912) Developing DSP Applications 1. Overview In addition to having an ARM926EJ-S core, the OMAP5912 processor has a C55x DSP core. The DSP core can be used by the ARM to

More information

A Rapid Prototyping Methodology for Algorithm Development in Wireless Communications

A Rapid Prototyping Methodology for Algorithm Development in Wireless Communications A Rapid Prototyping Methodology for Algorithm Development in Wireless Communications Abstract: Rapid prototyping has become an important means to verify the performance and feasibility of algorithms and

More information

The WINLAB Cognitive Radio Platform

The WINLAB Cognitive Radio Platform The WINLAB Cognitive Radio Platform IAB Meeting, Fall 2007 Rutgers, The State University of New Jersey Ivan Seskar Software Defined Radio/ Cognitive Radio Terminology Software Defined Radio (SDR) is any

More information

GNU Radio Technical Update

GNU Radio Technical Update GNU Radio Technical Update Johnathan Corgan GRCON17 GRCON17 GNU GNU Radio Radio Technical Technical Update Update September September 2017 2017 Topics Release 3.8 Features and capabilities Milestones and

More information

SOFTWARE IMPLEMENTATION OF IEEE B WIRELESS LAN STANDARD. Suyog D. Deshpande (Sr. MTS: HelloSoft, Inc, San Jose, CA, USA;

SOFTWARE IMPLEMENTATION OF IEEE B WIRELESS LAN STANDARD. Suyog D. Deshpande (Sr. MTS: HelloSoft, Inc, San Jose, CA, USA; SOFTWARE IMPLEMENTATION OF IEEE 802.11B WIRELESS LAN STANDARD Suyog D. Deshpande (Sr. MTS: HelloSoft, Inc, San Jose, CA, USA; suyog@hellosoft.com) ABSTRACT Software-Defined Radio (SDR) is a rapidly evolving

More information

CanSCA4.1ReplaceSTRSinSpace Applications?

CanSCA4.1ReplaceSTRSinSpace Applications? CanSCA4.1ReplaceSTRSinSpace Applications? RanCheng,LiZhou,QiTang,Dongtang Ma, Haitao Zhao,ShanWangandJibo Wei NationalUniversityofDefenseTechnology May17,2017 1 Outline 1. Introduction 2. Core Framework

More information

Simplifying FPGA Design for SDR with a Network on Chip Architecture

Simplifying FPGA Design for SDR with a Network on Chip Architecture Simplifying FPGA Design for SDR with a Network on Chip Architecture Matt Ettus Ettus Research GRCon13 Outline 1 Introduction 2 RF NoC 3 Status and Conclusions USRP FPGA Capability Gen

More information

Developing and Integrating FPGA Co-processors with the Tic6x Family of DSP Processors

Developing and Integrating FPGA Co-processors with the Tic6x Family of DSP Processors Developing and Integrating FPGA Co-processors with the Tic6x Family of DSP Processors Paul Ekas, DSP Engineering, Altera Corp. pekas@altera.com, Tel: (408) 544-8388, Fax: (408) 544-6424 Altera Corp., 101

More information

IMPLEMENTATION OF MPI-BASED WIMAX BASE STATION SYSTEM FOR SDR

IMPLEMENTATION OF MPI-BASED WIMAX BASE STATION SYSTEM FOR SDR IMPLEMENTATION OF MPI-BASED WIMAX BASE STATION SYSTEM FOR SDR Hyohan Kim (HY-SDR Research Center, Hanyang Univ., Seoul, South Korea; hhkim@dsplab.hanyang.ac.kr); Chiyoung Ahn(HY-SDR Research center, Hanyang

More information

Seamless Dynamic Runtime Reconfiguration in a Software-Defined Radio

Seamless Dynamic Runtime Reconfiguration in a Software-Defined Radio Seamless Dynamic Runtime Reconfiguration in a Software-Defined Radio Michael L Dickens, J Nicholas Laneman, and Brian P Dunn WINNF 11 Europe 2011-Jun-22/24 Overview! Background on relevant SDR! Problem

More information

SOFTWARE COMMUNICATIONS ARCHITECTURE SPECIFICATION APPENDIX A: GLOSSARY

SOFTWARE COMMUNICATIONS ARCHITECTURE SPECIFICATION APPENDIX A: GLOSSARY SOFTWARE COMMUNICATIONS ARCHITECTURE SPECIFICATION APPENDIX A: GLOSSARY Version: 4.1 Prepared by: Joint Tactical Networking Center (JTNC) 33000 Nixie Way San Diego, CA 92147-5110 Distribution Statement

More information

Cognitive radio technology and GENI project

Cognitive radio technology and GENI project Cognitive radio technology and GENI project Ivan Seskar Rutgers, The State University of New Jersey www.winlab.rutgers.edu Contact: seskar (at) winlab (dot) rutgers (dot) edu ORBIT Cognitive Capable Platforms

More information

The DSP/BIOS Bridge. Víctor Manuel Jáquez Leal. 06 February Igalia

The DSP/BIOS Bridge. Víctor Manuel Jáquez Leal. 06 February Igalia Víctor Manuel Jáquez Leal Igalia 06 February 2010 Víctor Manuel Jáquez Leal (Igalia) The DSP/BIOS Bridge 06 February 2010 1 / 41 The TI OMAP3 processor 1 The TI OMAP3 processor 2 The DSP/BIOS Bridge 3

More information

Enhancing GNU Radio for Run-Time Assembly of FPGA-Based Accelerators

Enhancing GNU Radio for Run-Time Assembly of FPGA-Based Accelerators Enhancing GNU Radio for Run-Time Assembly of FPGA-Based Accelerators Richard H. L. Stroop Thesis submitted to the Faculty of the Virginia Polytechnic Institute and State University in partial fulfillment

More information

Simplifying FPGA Design with A Novel Network-on-Chip Architecture

Simplifying FPGA Design with A Novel Network-on-Chip Architecture Simplifying FPGA Design with A Novel Network-on-Chip Architecture ABSTRACT John Malsbury Ettus Research 1043 N Shoreline Blvd Suite 100 +1 (650) 967-2870 john.malsbury@ettus.com As wireless communications

More information

Performance Overhead with High Level Waveform Development

Performance Overhead with High Level Waveform Development Performance Overhead with High Level Waveform Development Stefan Nagel, Michael Schwall, Friedrich K. Jondral 2010 European Reconfigurable Radio Technologies Workshop June 23-25, 2010 Mainz, Germany Communications

More information

Simplifying DSP Development with C6EZ Tools

Simplifying DSP Development with C6EZ Tools Simplifying DSP Development with C6EZ Tools DSP Development made easier with C6EZ Tools Seamlessly ports ARM code to DSP (ARM Developers) Provides ARM access to ready-to-use DSP kernels (System Developers)

More information

Building A Cognitive Radio Network Testbed

Building A Cognitive Radio Network Testbed Building A Cognitive Radio Network Testbed Zhe Chen, Nan Guo, and Robert C. Qiu Department of Electrical and Computer Engineering Center for Manufacturing Research Tennessee Technological University Cookeville,

More information

ARM Powered SoCs OpenEmbedded: a framework for toolcha. generation and rootfs management

ARM Powered SoCs OpenEmbedded: a framework for toolcha. generation and rootfs management ARM Powered SoCs OpenEmbedded: a framework for toolchain generation and rootfs management jacopo @ Admstaff Reloaded 12-2010 An overview on commercial ARM-Powered SOCs Many low-cost ARM powered devices

More information

An Experimental Study of Network Performance Impact of Increased Latency in SDR

An Experimental Study of Network Performance Impact of Increased Latency in SDR An Experimental Study of Network Performance Impact of Increased Latency in SDR Thomas Schmid Oussama Sekkat Mani B. Srivastava - Wintech workshop was started with the Keynote from Eric Blossom on GNU

More information

OMG SBC. Software Radio Cooperative Research Project (SRCRP) Jimmie Marks Roy Bell. March 8, 2006

OMG SBC. Software Radio Cooperative Research Project (SRCRP) Jimmie Marks Roy Bell. March 8, 2006 Software Radio Cooperative Research Project (SRCRP) OMG SBC March 8, 2006 Jimmie Marks Roy Bell January 2005 Copyright 2005, Raytheon Company. All Rights Reserved Agenda Program Overview Architecture Overview

More information

Embedded Target for TI C6000 DSP 2.0 Release Notes

Embedded Target for TI C6000 DSP 2.0 Release Notes 1 Embedded Target for TI C6000 DSP 2.0 Release Notes New Features................... 1-2 Two Virtual Targets Added.............. 1-2 Added C62x DSP Library............... 1-2 Fixed-Point Code Generation

More information

RFNoC : RF Network on Chip Martin Braun, Jonathon Pendlum GNU Radio Conference 2015

RFNoC : RF Network on Chip Martin Braun, Jonathon Pendlum GNU Radio Conference 2015 RFNoC : RF Network on Chip Martin Braun, Jonathon Pendlum GNU Radio Conference 2015 Outline Motivation Current situation Goal RFNoC Basic concepts Architecture overview Summary No Demo! See our booth,

More information

VALIDATION AND VERIFICATION OF MODULAR SOFTWARE FOR SOFTWARE-DEFINED RADIOS

VALIDATION AND VERIFICATION OF MODULAR SOFTWARE FOR SOFTWARE-DEFINED RADIOS VALIDATION AND VERIFICATION OF MODULAR SOFTWARE FOR SOFTWARE-DEFINED RADIOS Carlos R. Aguayo Gonzalez (MPRG, Wireless@Virginia Tech, Blacksburg, VA, USA; caguayog@vt.edu); and Jeffrey H. Reed (MPRG, Wireless@Virginia

More information

DISTRIBUTION STATEMENT A. Approved for public release: distribution is unlimited. (10 OCT 2018)

DISTRIBUTION STATEMENT A. Approved for public release: distribution is unlimited. (10 OCT 2018) Dr. Don Stephens JTNC Standards 2 October 2018 Software Communications Architecture (SCA) Framework Original SCA Architecture The Software Communications Architecture (SCA) was an early product of the

More information

Simulation, prototyping and verification of standards-based wireless communications

Simulation, prototyping and verification of standards-based wireless communications Simulation, prototyping and verification of standards-based wireless communications Colin McGuire, Neil MacEwen 2015 The MathWorks, Inc. 1 Real Time LTE Cell Scanner with MATLAB and Simulink 2 Real time

More information

Design and Implementation of an Efficient Software Communications Architecture Core Framework for a Digital Signal Processors Platform

Design and Implementation of an Efficient Software Communications Architecture Core Framework for a Digital Signal Processors Platform American J. of Engineering and Applied Sciences 4 (3): 429-434, 2011 ISSN 1941-7020 2014 W.A. Murtada et al., This open access article is distributed under a Creative Commons Attribution (CC-BY) 3.0 license

More information

USING C-TO-HARDWARE ACCELERATION IN FPGAS FOR WAVEFORM BASEBAND PROCESSING

USING C-TO-HARDWARE ACCELERATION IN FPGAS FOR WAVEFORM BASEBAND PROCESSING USING C-TO-HARDWARE ACCELERATION IN FPGAS FOR WAVEFORM BASEBAND PROCESSING David Lau (Altera Corporation, San Jose, CA, dlau@alteracom) Jarrod Blackburn, (Altera Corporation, San Jose, CA, jblackbu@alteracom)

More information

Code Parallelization for Multi-Core Software Defined Radio Platforms with OpenMP

Code Parallelization for Multi-Core Software Defined Radio Platforms with OpenMP Proceedings of SDR'11-WInnComm-Europe, 22-24 Jun 2011 Code Parallelization for Multi-Core Software Defined Radio Platforms with OpenMP Michael Schwall, Stefan Nagel and Friedrich K. Jondral Karlsruhe Institute

More information

Designing and Prototyping Digital Systems on SoC FPGA The MathWorks, Inc. 1

Designing and Prototyping Digital Systems on SoC FPGA The MathWorks, Inc. 1 Designing and Prototyping Digital Systems on SoC FPGA Hitu Sharma Application Engineer Vinod Thomas Sr. Training Engineer 2015 The MathWorks, Inc. 1 What is an SoC FPGA? A typical SoC consists of- A microcontroller,

More information

SOFTWARE COMMUNICATIONS ARCHITECTURE SPECIFICATION APPENDIX A: GLOSSARY

SOFTWARE COMMUNICATIONS ARCHITECTURE SPECIFICATION APPENDIX A: GLOSSARY SOFTWARE COMMUNICATIONS ARCHITECTURE SPECIFICATION APPENDIX A: GLOSSARY FINAL / 15 May 2006 Version 2.2.2 Prepared by: JTRS Standards Joint Program Executive Office (JPEO) Joint Tactical Radio System (JTRS)

More information

D Demonstration of disturbance recording functions for PQ monitoring

D Demonstration of disturbance recording functions for PQ monitoring D6.3.7. Demonstration of disturbance recording functions for PQ monitoring Final Report March, 2013 M.Sc. Bashir Ahmed Siddiqui Dr. Pertti Pakonen 1. Introduction The OMAP-L138 C6-Integra DSP+ARM processor

More information

Latency Analysis in GNU Radio/USRP-based Software Radio Platforms

Latency Analysis in GNU Radio/USRP-based Software Radio Platforms 2013 IEEE Military Communications Conference Latency Analysis in GNU Radio/USRP-based Software Radio Platforms Nguyen B.Truong DASAN NETWORKS Corp. Seoul, Gyeonggi-do, 463-400 South Korea nguyentb@postech.ac.kr

More information

Evaluating the GNU Software Radio platform for wireless testbeds

Evaluating the GNU Software Radio platform for wireless testbeds University of Paderborn Computer Networks Group Evaluating the GNU Software Radio platform for wireless testbeds Stefan Valentin, Holger von Malm, Holger Karl {stefanv holgervm holger.karl}@upb.de February

More information

MyCCM. A Component Based Approach for Real-Time & Critical Systems. Olivier Hachet Thales Communications

MyCCM. A Component Based Approach for Real-Time & Critical Systems. Olivier Hachet Thales Communications MyCCM A Component Based Approach for Real-Time & Critical Systems Thales Communications Olivier Hachet Olivier.hachet@thalesgroup.com 2 / Agenda 1) Path toward CBSE for RTE 2) The MyCCM Framework 3) Future

More information

SDR-3000 Series Software Defined Radio Transceiver Subsystems

SDR-3000 Series Software Defined Radio Transceiver Subsystems SIGNAL PROCESSING Preliminary SDR-3000 Series Software Defined Radio Transceiver Subsystems cpci Benefits Ultra high performance wireless processing engine Supports signal bandwidths in excess of 32 MHz

More information

Digital Signal Processing Laboratory 7: IIR Notch Filters Using the TMS320C6711

Digital Signal Processing Laboratory 7: IIR Notch Filters Using the TMS320C6711 Digital Signal Processing Laboratory 7: IIR Notch Filters Using the TMS320C6711 PreLab due Wednesday, 3 November 2010 Objective: To implement a simple filter using a digital signal processing microprocessor

More information

PicoSDR goes GNU Radio. Tristan Martin Jan 2013

PicoSDR goes GNU Radio. Tristan Martin Jan 2013 Tristan Martin Jan 2013 Table of content Model Based Design tool for FPGA Development (MBDK) Model Based Design tool for host development (GNU Radio) PicoSDR : High End MIMO RF frontend for GNU Radio Radio420M

More information

PC/104 Test-Bed for Software GPS Receiver (SGR) and Software Defined Radio (SDR) Applications

PC/104 Test-Bed for Software GPS Receiver (SGR) and Software Defined Radio (SDR) Applications PC/104 Test-Bed for Software GPS Receiver (SGR) and Software Defined Radio (SDR) Applications Frank Carpenter, NAVSYS Corporation 14960 Woodcarver Road Colorado Springs, CO 80921 (719) 481-4877 x137 (719)

More information

Design and Verify Embedded Signal Processing Systems Using MATLAB and Simulink

Design and Verify Embedded Signal Processing Systems Using MATLAB and Simulink Design and Verify Embedded Signal Processing Systems Using MATLAB and Simulink Giorgia Zucchelli, Application Engineer, MathWorks 10 January 2013, Technical University Eindhoven 2013 The MathWorks, Inc.

More information

SOFTWARE DEFI ED RADIO EXECUTIO LATE CY

SOFTWARE DEFI ED RADIO EXECUTIO LATE CY SOFTWARE DEFI ED RADIO EXECUTIO LATE CY Feng Ge, Alex Young, Terry Brisebois, Qinqin Chen, and Charles W. Bostian Virginia Polytechnic Institute and State University, Wireless @ Virginia Tech, Center for

More information

Success Oriented Ground and Space Software Defined Architectures

Success Oriented Ground and Space Software Defined Architectures Success Oriented Ground and Space Software Defined Architectures 30 March 2004 Jerry Brand, Vince Kovarik and James Faist Melbourne, Florida Presented at the GSAW 2004 Manhattan Beach, CA GSAW 2004 (Slide

More information

Code Generation for TMS320C6x in Ptolemy

Code Generation for TMS320C6x in Ptolemy Code Generation for TMS320C6x in Ptolemy Sresth Kumar, Vikram Sardesai and Hamid Rahim Sheikh EE382C-9 Embedded Software Systems Spring 2000 Abstract Most Electronic Design Automation (EDA) tool vendors

More information

Third Genera+on USRP Devices and the RF Network- On- Chip. Leif Johansson Market Development RF, Comm and SDR

Third Genera+on USRP Devices and the RF Network- On- Chip. Leif Johansson Market Development RF, Comm and SDR Third Genera+on USRP Devices and the RF Network- On- Chip Leif Johansson Market Development RF, Comm and SDR About Ettus Research Leader in soeware defined radio and signals intelligence Maker of USRP

More information

EXPERIENCE REPORT ON THE USE OF CORBA AS THE SOLE MIDDLEWARE SOLUTION IN SCA-BASED SDR ENVIRONMENTS

EXPERIENCE REPORT ON THE USE OF CORBA AS THE SOLE MIDDLEWARE SOLUTION IN SCA-BASED SDR ENVIRONMENTS EXPERIENCE REPORT ON THE USE OF CA AS THE SOLE MIDDLEWARE SOLUTION IN SCA-BASED SDR ENVIRONMENTS Fabio Casalino (SELEX Communications, Pomezia (Rome), Italy; fabio.casalino@selex-comms.com) Giovanni Middioni

More information

GENI Wireless Subnets System Engineering Status

GENI Wireless Subnets System Engineering Status Wireless s System Engineering Status Chip Elliott, celliott@bbn.com Wireless Working Group Outline System Engineering overview Goals Current status Details for specific wireless subnets Current system

More information

COMMUNICATIONS WITH THE MULTI- CHANNEL HOST P RT INTERFACE

COMMUNICATIONS WITH THE MULTI- CHANNEL HOST P RT INTERFACE Multiple Channels COMMUNICATIONS WITH THE MULTI- CHANNEL HOST P RT INTERFACE With the HPI and McHPI, applications can create a single physical channel and multiple virtual channels to provide communications

More information

OpenRadio. A programmable wireless dataplane. Manu Bansal Stanford University. Joint work with Jeff Mehlman, Sachin Katti, Phil Levis

OpenRadio. A programmable wireless dataplane. Manu Bansal Stanford University. Joint work with Jeff Mehlman, Sachin Katti, Phil Levis OpenRadio A programmable wireless dataplane Manu Bansal Stanford University Joint work with Jeff Mehlman, Sachin Katti, Phil Levis HotSDN 12, August 13, 2012, Helsinki, Finland 2 Opening up the radio Why?

More information

IoT usecase for Yocto Project

IoT usecase for Yocto Project IoT usecase for Yocto Project SUMMER SCHOOL Outline Yocto and IoT IoTivity ResinOS Test Questions 2 Yocto & IoT As of 2015: 25 billion connected devices By 2020: 50 billion connected devices Building the

More information

High Level Abstractions for Implementation of Software Radios

High Level Abstractions for Implementation of Software Radios High Level Abstractions for Implementation of Software Radios J. B. Evans, Ed Komp, S. G. Mathen, and G. Minden Information and Telecommunication Technology Center University of Kansas, Lawrence, KS 66044-7541

More information

Sameer Awasthi Asst. Professor, Biet Lucknow, Uttar Pradesh, India

Sameer Awasthi Asst. Professor, Biet Lucknow, Uttar Pradesh, India Volume 7, Issue 5, May 2017 ISSN: 2277 128X International Journal of Advanced Research in Computer Science and Software Engineering Research Paper Available online at: www.ijarcsse.com MAC Protocol Implementation

More information

10 Steps to Virtualization

10 Steps to Virtualization AN INTEL COMPANY 10 Steps to Virtualization WHEN IT MATTERS, IT RUNS ON WIND RIVER EXECUTIVE SUMMARY Virtualization the creation of multiple virtual machines (VMs) on a single piece of hardware, where

More information

Modern system architectures in embedded systems

Modern system architectures in embedded systems Wir schaffen Wissen heute für morgen Paul Scherrer Institut Timo Korhonen Modern system architectures in embedded systems Outline What is driving the technology? Two most prominent trends How can we take

More information

Technology for Innovators TM TI WIRELESS TECHNOLOGY DELIVERING ALL THE PROMISE OF 3G

Technology for Innovators TM TI WIRELESS TECHNOLOGY DELIVERING ALL THE PROMISE OF 3G Technology for Innovators TM TI WIRELESS TECHNOLOGY DELIVERING ALL THE PROMISE OF 3G 1 BROAD PORTFOLIO OF FLEXIBLE, SCALABLE SOLUTIONS From traditional voice-centric mobile phones to the most advanced,

More information

SDR Forum Technical Conference 2007

SDR Forum Technical Conference 2007 SOFTWARE DEVELOPMENT SOLUTIONS FOR MULTIPROCESSOR AND SOC SYSTEMS USED IN SDR Toby McClean (Zeligsoft, Gatineau, QC, Canada, toby@zeligsoft.com) Mark Hermeling (Zeligsoft, Gatineau, QC, Canada, mark@zeligsoft.com)

More information

Classification of Semiconductor LSI

Classification of Semiconductor LSI Classification of Semiconductor LSI 1. Logic LSI: ASIC: Application Specific LSI (you have to develop. HIGH COST!) For only mass production. ASSP: Application Specific Standard Product (you can buy. Low

More information

Design and Verify Embedded Signal Processing Systems Using MATLAB and Simulink

Design and Verify Embedded Signal Processing Systems Using MATLAB and Simulink Design and Verify Embedded Signal Processing Systems Using MATLAB and Simulink Giorgia Zucchelli, Application Engineer, MathWorks 17 January 2011, Technical University Eindhoven 1 Agenda Introduction to

More information

ADAPTING A SDR ENVIRONMENT TO GPU ARCHITECTURES

ADAPTING A SDR ENVIRONMENT TO GPU ARCHITECTURES Proceedings of SDR'11-WInnComm-Europe, 22-24 Jun 211 ADAPTIG A SDR EVIROMET TO GPU ARCHITECTURES Pierre-Henri Horrein (CEA, Leti, Minatec, Grenoble, France; pierre-henri.horrein@cea.fr); Christine Hennebert

More information

CORBA Across Embedded Devices

CORBA Across Embedded Devices Embedded Devices Victor Giddings Objective Interface Systems 1 Common Object Request Broker Architecture (CORBA) Systems Client Mainline Server Mainline Generated Stub Generated Skeleton ORB library ORB

More information

SOFTWARE DEFINED RADIO TEST BED FOR INTEGRATED COMMUNICATIONS AND NAVIGATION APPLICATIONS

SOFTWARE DEFINED RADIO TEST BED FOR INTEGRATED COMMUNICATIONS AND NAVIGATION APPLICATIONS SOFTWARE DEFINED RADIO TEST BED FOR INTEGRATED COMMUNICATIONS AND NAVIGATION APPLICATIONS Frank Carpenter (frankc@navsys.com), Srikathyayani Srikanteswara (srikathyayanis@navsys.com), Alison Brown (abrown@navsys.com)

More information

Optimizing the performance and portability of multicore DSP platforms with a scalable programming model supporting the Multicore Association s MCAPI

Optimizing the performance and portability of multicore DSP platforms with a scalable programming model supporting the Multicore Association s MCAPI Texas Instruments, PolyCore Software, Inc. & The Multicore Association Optimizing the performance and portability of multicore DSP platforms with a scalable programming model supporting the Multicore Association

More information

RFNoC TM RF Network-on-Chip

RFNoC TM RF Network-on-Chip RFNoC TM RF Network-on-Chip Martin Braun, Jonathon Pendlum, and Matt Ettus {MARTIN.BRAUN, JONATHON.PENDLUM, MATT}@ETTUS.COM Ettus Research / National Instruments 4600 Patrick Henry Dr, Santa Clara, CA

More information

USE OF NOVEL POWER CONTROL MECHANISMS IN AN SCA WAVEFORM AND PLATFORM

USE OF NOVEL POWER CONTROL MECHANISMS IN AN SCA WAVEFORM AND PLATFORM 786 USE OF NOVEL POWER CONTROL MECHANISMS IN AN SCA WAVEFORM AND PLATFORM Larry Dunst (DataSoft, Scottsdale, AZ, larry.dunst@datasoft.com) Shahzad Aslam-Mir, Ph.D. (DataSoft, Scottsdale, AZ, shahzad.aslam-mir@datasoft.com)

More information

GNU Radio and RFNoC in Space: How Hawkeye 360 uses GNU Radio on Small-Satellites

GNU Radio and RFNoC in Space: How Hawkeye 360 uses GNU Radio on Small-Satellites GNU Radio and RFNoC in Space: How Hawkeye 360 uses GNU Radio on Small-Satellites EJ Kreinar Dan CaJacob Topics Hawkeye 360 Overview Gnuradio + RFNoC: Prototype and Production Use-Case Example: Custom OQPSK

More information

With Fixed Point or Floating Point Processors!!

With Fixed Point or Floating Point Processors!! Product Information Sheet High Throughput Digital Signal Processor OVERVIEW With Fixed Point or Floating Point Processors!! Performance Up to 14.4 GIPS or 7.7 GFLOPS Peak Processing Power Continuous Input

More information

How to validate your FPGA design using realworld

How to validate your FPGA design using realworld How to validate your FPGA design using realworld stimuli Daniel Clapham National Instruments ni.com Agenda Typical FPGA Design NIs approach to FPGA Brief intro into platform based approach RIO architecture

More information

Design of Portable Waveform SW Applications

Design of Portable Waveform SW Applications Design of Portable Waveform SW Applications Daniele Olmisani SELEX Elsag Spa daniele.olmisani@selexelsag.com WInnForum Webinar Series: The Software Communications Architecture 16/11/2011 16/11/2011 SELEX

More information

Doing more with multicore! Utilizing the power-efficient, high-performance KeyStone multicore DSPs. November 2012

Doing more with multicore! Utilizing the power-efficient, high-performance KeyStone multicore DSPs. November 2012 Doing more with multicore! Utilizing the power-efficient, high-performance KeyStone multicore DSPs November 2012 How the world is doing more with TI s multicore Using TI multicore for wide variety of applications

More information

DE5 Thursday, September 09, 2010

DE5 Thursday, September 09, 2010 Real-time Embedded System Programming g Course Teacher: D. M. Akbar Hussain DE5-2010 Lessen # 1 1 Course Book is Required? Course: The course material is prepared from the following books & Internet Resources

More information

Open Source Community Extends Virtual Central Office to Mobile Use Case

Open Source Community Extends Virtual Central Office to Mobile Use Case Open Source Community Extends Virtual Central Office to Mobile Use Case Multiple open source communities and organizations collaborate to bring mobile services to the edge KEY OPEN SOURCE PROJECTS: OpenAirInterface

More information

The TMS320 DSP Algorithm Standard

The TMS320 DSP Algorithm Standard White Paper SPRA581C - May 2002 The TMS320 DSP Algorithm Standard Steve Blonstein Technical Director ABSTRACT The TMS320 DSP Algorithm Standard, also known as XDAIS, is part of TI s expressdsp initiative.

More information

Rapid Prototyping System for Teaching Real-Time Digital Signal Processing

Rapid Prototyping System for Teaching Real-Time Digital Signal Processing IEEE TRANSACTIONS ON EDUCATION, VOL. 43, NO. 1, FEBRUARY 2000 19 Rapid Prototyping System for Teaching Real-Time Digital Signal Processing Woon-Seng Gan, Member, IEEE, Yong-Kim Chong, Wilson Gong, and

More information

Digital Signal Processor 2010/1/4

Digital Signal Processor 2010/1/4 Digital Signal Processor 1 Analog to Digital Shift 2 Digital Signal Processing Applications FAX Phone Personal Computer Medical Instruments DVD player Air conditioner (controller) Digital Camera MP3 audio

More information

Remote Access and Service Discovery for a Vehicular Public Safety Cognitive Radio

Remote Access and Service Discovery for a Vehicular Public Safety Cognitive Radio Remote Access and Service Discovery for a Vehicular Public Safety Cognitive Radio Rohit D. Rangnekar Thesis submitted to the Faculty of the Virginia Polytechnic Institute and State University in partial

More information

DSP/BIOS LINK OMAP2530 EVM LNK 172 USR. Version 1.64 NOV 13, 2009

DSP/BIOS LINK OMAP2530 EVM LNK 172 USR. Version 1.64 NOV 13, 2009 DSP/BIOS LINK OMAP2530 EVM NOV 13, 2009 Document Template Version 1 Page 1 of 21 This page has been intentionally left blank. Page 2 of 21 IMPORTANT NOTICE Texas Instruments Incorporated and its subsidiaries

More information

ReDECTed Building an SDR based DECT sniffer. May 27 th, 2015 HITB HAXPO Marc Newlin

ReDECTed Building an SDR based DECT sniffer. May 27 th, 2015 HITB HAXPO Marc Newlin ReDECTed Building an SDR based DECT sniffer May 27 th, 2015 HITB HAXPO Marc Newlin What is a DECT sniffer? DECT is the ubiquitous wireless protocol used by cordless phones A DECT sniffer uses an SDR to

More information

Chapter 7. Hardware Implementation Tools

Chapter 7. Hardware Implementation Tools Hardware Implementation Tools 137 The testing and embedding speech processing algorithm on general purpose PC and dedicated DSP platform require specific hardware implementation tools. Real time digital

More information

UCT Software-Defined Radio Research Group

UCT Software-Defined Radio Research Group UCT Software-Defined Radio Research Group UCT SDRRG Team UCT Faculty: Alan Langman Mike Inggs Simon Winberg PhD Students: Brandon Hamilton MSc Students: Bruce Raw Gordon Inggs Simon Scott Joseph Wamicha

More information

A NET Refresher

A NET Refresher .NET Refresher.NET is the latest version of the component-based architecture that Microsoft has been developing for a number of years to support its applications and operating systems. As the name suggests,.net

More information

Reconfigurable VLSI Communication Processor Architectures

Reconfigurable VLSI Communication Processor Architectures Reconfigurable VLSI Communication Processor Architectures Joseph R. Cavallaro Center for Multimedia Communication www.cmc.rice.edu Department of Electrical and Computer Engineering Rice University, Houston

More information

The Neutral Message Language: A Model and Method for Message Passing in Heterogeneous Environments *

The Neutral Message Language: A Model and Method for Message Passing in Heterogeneous Environments * The Neutral Message Language: A Model and Method for Message Passing in Heterogeneous Environments * William P. Shackleford, Frederick M. Proctor, and John L. Michaloski National Institute of Standards

More information

Cognitive Radio Platform Research at WINLAB

Cognitive Radio Platform Research at WINLAB Cognitive Radio Platform Research at WINLAB December 2, 2010 Zoran Miljanic and Ivan Seskar WINLAB Rutgers University www.winlab.rutgers.edu 1 WiNC2R objectives Programmable processing of phy and higher

More information

2008/12/23. System Arch 2008 (Fire Tom Wada) 1

2008/12/23. System Arch 2008 (Fire Tom Wada) 1 Digital it Signal Processor System Arch 2008 (Fire Tom Wada) 1 Analog to Digital Shift System Arch 2008 (Fire Tom Wada) 2 Digital Signal Processing Applications FAX Phone Personal Computer Medical Instruments

More information

Verification and Validation of X-Sim: A Trace-Based Simulator

Verification and Validation of X-Sim: A Trace-Based Simulator http://www.cse.wustl.edu/~jain/cse567-06/ftp/xsim/index.html 1 of 11 Verification and Validation of X-Sim: A Trace-Based Simulator Saurabh Gayen, sg3@wustl.edu Abstract X-Sim is a trace-based simulator

More information

Digital Signal Processing Introduction to Finite-Precision Numerical Effects

Digital Signal Processing Introduction to Finite-Precision Numerical Effects Digital Signal Processing Introduction to Finite-Precision Numerical Effects D. Richard Brown III D. Richard Brown III 1 / 9 Floating-Point vs. Fixed-Point DSP chips are generally divided into fixed-point

More information

An IEEE MAC Software Defined Radio Implementation for Experimental Wireless Communications and Networking Research

An IEEE MAC Software Defined Radio Implementation for Experimental Wireless Communications and Networking Research An IEEE 82.11 MAC Software Defined Radio Implementation for Experimental Wireless Communications and Networking Research Juan R. Gutierrez-Agullo, Baldomero Coll-Perales and Javier Gozalvez Uwicore, Ubiquitous

More information

Playback function and equalizer on SDR14

Playback function and equalizer on SDR14 1 (9) Application Note: Playback function and equalizer on SDR14 Table of Contents Introduction... 2 Playback in standard firmware... 2 Activating Playback... 2 AWG check function... 3 Specification...

More information

SyncML Overview. Noel Poore, Psion Computers PLC

SyncML Overview. Noel Poore, Psion Computers PLC SyncML Overview Noel Poore, Psion Computers PLC Data synchronization is a field of growing importance. As the number of mobile devices increases rapidly in the next few years, more and more data is going

More information

High Data Rate Fully Flexible SDR Modem

High Data Rate Fully Flexible SDR Modem High Data Rate Fully Flexible SDR Modem Advanced configurable architecture & development methodology KASPERSKI F., PIERRELEE O., DOTTO F., SARLOTTE M. THALES Communication 160 bd de Valmy, 92704 Colombes,

More information

Real-Time DSP for Educators

Real-Time DSP for Educators Real-Time DSP for Educators Michael Morrow University of Wisconsin-Madison Thad Welch United States Naval Academy Cameron Wright University of Wyoming Introduction Agenda Motivation DSK and Software Installation

More information

An Efficient Constant Multiplier Architecture Based On Vertical- Horizontal Binary Common Sub-Expression Elimination Algorithm

An Efficient Constant Multiplier Architecture Based On Vertical- Horizontal Binary Common Sub-Expression Elimination Algorithm Volume-6, Issue-6, November-December 2016 International Journal of Engineering and Management Research Page Number: 229-234 An Efficient Constant Multiplier Architecture Based On Vertical- Horizontal Binary

More information

RFNoC Deep Dive: Host Side Martin Braun 5/28/2015

RFNoC Deep Dive: Host Side Martin Braun 5/28/2015 RFNoC Deep Dive: Host Side Martin Braun 5/28/2015 Why Host Development? Typical application is run and controlled from hostbased process (e.g. GNU Radio) Setting up heterogeneous processing in a simple

More information

Performance evaluation and optimization of an OMAP platform for embedded SDR systems

Performance evaluation and optimization of an OMAP platform for embedded SDR systems Performance evaluation and optimization of an OMAP platform for embedded SDR systems CARLO RINALDI KTH Information and Communication Technology Master of Science Thesis Stockholm, Sweden 2011 TRITA-ICT-EX-2011:21

More information

DESIGN OF A CUBESAT PAYLOAD INTERFACE. Jason Axelson Department of Electrical Engineering University of Hawai i at Mānoa Honolulu, HI ABSTRACT

DESIGN OF A CUBESAT PAYLOAD INTERFACE. Jason Axelson Department of Electrical Engineering University of Hawai i at Mānoa Honolulu, HI ABSTRACT DESIGN OF A CUBESAT PAYLOAD INTERFACE Jason Axelson Department of Electrical Engineering University of Hawai i at Mānoa Honolulu, HI 96822 ABSTRACT Typically, a complete satellite will be designed around

More information