Instruction-Level Power Consumption Estimation of Embedded Processors for Low-Power Applications

Size: px
Start display at page:

Download "Instruction-Level Power Consumption Estimation of Embedded Processors for Low-Power Applications"

Transcription

1 Instruction-Level Power Consumption Estimation of Embedded Processors for Low-Power Applications S. Nikolaidis and Th. Laopoulos Electronics Lab., Physics Dept., Aristotle University of Thessaloniki, Thessaloniki, 54006, Greece. Abstract: A power consumption measurement framework for embedded processing systems is presented in this work. Given an assembly or machine level program as input to this setup, the energy consumption of the specific program in the specific processing systems may be estimated. The instruction level power models are derived based on the power supply current measurement technique. The instantaneous variations of the power supply current provide the appropriate information for the accurate estimation of the power consumption at different operating situations of the processor (core) and of the overall processing system as well (consumption of peripheral units). The proposed instantaneous current measuring approach, along with the execution of special test programs for analysis of inter-instruction effects provides a clear insight information of the power behavior of embedded processing systems. Keywords: low-power design, embedded microprocessors, current measurement, power consumption, powers modeling 1. INTRODUCTION The increasing popularity of low power mobile phones, computers and other embedded computing applications drives the need for analyzing and optimizing power consumption in all parts of a system. Software constitutes nowadays a major part of such systems, where power is a constraint, and also has a significant contribution to the overall power consumption [1]. In order to analyze systematically and assess this impact, it is important to start at the most practical and fundamental level the instruction level. Accurate modeling and analysis at this level is essential in order to evaluate the software in terms of power consumption, and also to help software developers in their search for low power software implementations [2-4]. At present, power measurement tools focus mainly on the lower levels of the design; at the circuits level, at the logic level, and to a limited extend at the RT level [5]. Generally, these are very time-consuming and impractical techniques for the evaluation of the power consumption of embedded software. Moreover, they can not even be applied in most cases, due to the lack of availability of circuit and gate level information of the embedded processors. The embedded processors currently used in designs are of two kinds; the off-the-shelf microprocessors and the embedded cores. In the first case, the information available is whatever is usually included in the data books, while in the second case, the designer has logic/timing simulation models as a design verification help. In neither case the lower level information is available for the power analysis of the software. There are many advantages in developing an instruction-level power consumption model for a processor: a)estimation of the power cost to the software component of a system, b)verification of overall system's power budget, c)useful information for high-level design decisions such as hardwaresoftware partitioning, d)development of automated code generation tools with low power features, etc. 2. POWER CONSUMPTION Modern microprocessors are extremely complex systems, consisting of several interacting functional blocks. However, this internal complexity is hidden behind a simple interface the instruction set. Thus,

2 it seems rather logical to consider the power consumption of individual instructions, in order to build a model of the energy consumption of this complex system. Furthermore, each instruction involves specific processing activities across various units of the processor. These processing activities are performed by associated circuit activities which are characteristic for each instruction, and therefore vary with different instructions. Executing repeatedly certain instruction sequences, provides a means of evaluation for the power cost of these effects. Thus the sum of the power costs of the each instruction that is executed in a program, increased by the power cost of the inter-instruction effects, forms an estimate for the power cost of the program. Different techniques have been proposed for the estimation of the impact of software to the overall power consumption of a given processor. The common methodology is based on the derivation of instruction level power models by measuring the average current of the each executed instruction of the processor. The average power, P, consumed by a microprocessor while running a program is given by: P=IxVcc, where I is the average current and Vcc is the supply voltage. The energy, E, consumed by a prrjudp LV IXUWKHU JLYHQ E\ ( 3[1[2 ZKHUH 1 LV the number of clock cycles taken by the program and 2 LV WKH FORFN SHULRG 7KXV WKH DELOLW\ WR PHDVXUH WKH current drawn by the CPU during the execution of the program is essential for measuring its power/energy cost. Other teams have proposed a simple and practical technique in order to measure this current [2,3,4]. The power supply connections to the CPU are isolated from the rest of the system. A test program is executed, containing a set of repeated instructions (instruction sequence). The current waveform is then periodic, and an average value can be obtained from a standard off-the-shelf digital milliamperes meter. This inexpensive low-resolution approach seems to work well enough for this measurement. This technique was directly applied in the case of the Intel 486DX2 and the Fujitsu DSP, where the CPUs were parts of actual evaluation boards. Also, a similar technique was followed for modeling the power of the instructions of the ARM7TDMI processor and Motorola DSP in the SOFLOPO project [7,8]. A variation of the current measurement method that used a digitizing oscilloscope to measure instantaneous power was proposed in [6] to develop a power model for the JF and HD implementations of the i960 family. However, the current measurements was taken from the voltage drop at a resistor set directly in the current supply network influencing the actual level of the voltage applied to the chip and thus creating an offset noise on the current values, and consequently reducing the accuracy of the method. 3. POWER MODELING ANALYSIS The basic parameters that define the instructionlevel power consumption model of a processor should be considered first. These are actually the different operating situations of the circuitry, which are imposed by the software (instructions). The effect of each one of these situations on the power consumption should be analyzed. Special programs have to be derived for measuring the effect of each one of these parameters in the total power budget of any instruction. The basic parameters are: Instruction Base Cost: The base cost of an instruction may be thought of as the cost associated with the basic processing action needed to execute the instruction. The base power cost multiplied by the number of non-overlapped cycles needed to execute the instruction is its base energy cost. Effect of Circuit State: Given an instruction sequence, using base costs of the individual instructions to model the cost of the sequence, tends to underestimate the cost. This is so, because costs do not account the effect of the change in circuit state when two different instructions are executed consecutively. The concept of the "circuit state overhead" for a pair of instructions is needed to represent this effect. Effect of Cache Misses: For a cache miss, a certain cycle penalty has to be added to the

3 instruction time. As the base cost for instructions with memory operands are determined in the context of cache hits, a cache miss will lead to extra cycles being consumed, which leads to an energy penalty. Effect of Resource Constraints: Resource constraints in the CPU can lead to stalls, e.g. pipeline stalls. They cause an increase in the number of cycles needed to execute a sequence of instructions. It is well known that in digital systems there is a strong relation between the power consumption on the data that has to be processed. Consequently, prior to measuring power for the instruction set a number of variations in the assembly instructions that might influence power consumption has to be examined. In this way, the effect of the different source and destination registers on the measured power, the effect of the operand values, the effect of conditionally executed instructions as well as the effect of the various addressing modes have to be examined. Moreover, since the addressing mode has been proved to have significant influence on the power consumption [2,8] instructions with different addressing modes are considered as different instructions and examined separately. The above analysis is based on theoretical and "average current" information about the power consumption. It is therefore expected that a detailed information about the current waveforms should reveal more complex situations and enhance our knowledge about the software power consumption. 4. CPU's ANALYSIS The proposed current measurement approach aims to overcoming the insufficiencies of the previous methods mentioned above. The instruction level power models may now be derived based on the "instantaneous" current variations measured by a high-accuracy and high-speed automated measurement and data acquisition setup. The main task is to be performed by a high frequency oscilloscope capable of monitoring the instantaneous power supply current variations of the processor core. This measurement approach is similar to the Built-In Self Test (BIST) techniques used for testing high frequency analog circuits (monitoring the current, drawn by the circuit under test, results to evaluation of the different operating conditions). By applying proper timing and signature analysis techniques to these measurements, the power consumption of each instruction sequence used in the software may be estimated. The success of supply current monitoring in digital CMOS integrated circuits (IDDQ testing) has prompted researchers to investigate the feasibility of monitoring supply current as power consumption evaluation methodology A number of different current sensors for current monitoring have been proposed in the literature. All these sensors insert a current sensing device on the circuit s power supply path. A voltage drop across the sensing device results as the current passes through it. Drawbacks of this voltage drop include performance degradation of the circuit under test due to voltage variations etc. The resulting V DD voltage can usually be expressed as the sum of different voltage components, some of them being "I DD - independent" and some being "I DD - dependent". The resulting impedance in the supply current path (illustrated in Fig. 1). can cause an unacceptable degradation in the performance of the processing circuit under test, due to the induced noise and variations. Designing for small values of sensing circuit's impedance reduces the impact of the sensor on the performance of the processing circuit by reducing V DD variations [Fig.1] which in turn reduces the sensitivity of the sensor. These considerations about measurement accuracy are essential for power consumption estimation of embedded processing systems although they are not usual in other cases of current mode testing of digital circuits. For example in digital circuit I DDQ testing, high current sensor sensitivity is not required because the sensor only needs to distinguish two values of current which are typically at least one or more orders of magnitude apart. The situation of current testing in cases as the one presented here is

4 more similar to analog circuits current testing, where both a high sensitivity and a low impedance value are required for acceptable performance. Most current sensors proposed in the literature use a resistor or a MOS transistor biased in the triode region as the current sensing device. The voltage drop across the sensing device is used as the current value indicator. Such sensing devices tradeoff sensitivity for impact on the performance of the circuit under test. Yet the main reason for choosing this type of sensing devices is the need for a built-in configuration, which is not the case here. As the implementation of the current sensing circuit is free from the microelectronics technology and other limitations of the built-in solutions, the possible bipolar configurations may offer a highly competitive alternative in terms of frequency range and accuracy. SENSING CIRCUIT V DD POWER SUPPLY MIRROR I DD - PROCESSING SYSTEM MEASUREMENT CONFIGURATION (D.S.O.) SENSING CIRCUIT Fig. 1. Diagram of the current measurement scheme Improved current mirroring configurations based on operational amplifiers offer the desired characteristic of monitoring signals with a large DC component and smaller AC components which are of particular interest. As mentioned before, it is necessary to keep the impedance of the sensing circuit at low values because it induces a significant noise voltage during the switching of surge current components. This may be achieved either by proper design of the current sensing circuit or by inserting a decoupling capacitor to the power supply node of the processing unit (V DD ), in order to keep the total impedance value (and the resulting noise) low. This phenomenon is stronger especially at high frequencies zone where the processing units are operating usually. Such a feature is of major importance in this case, where all information about small and short variations should be taken into account in order to explore the different power consumption costs of the previously mentioned inter instruction phenomena. A number of appropriate experiments will be proceeded for the characterization of the power components of each instruction. The instruction base cost will be determined by executing programs containing a loop of several instances of the given instructions. Special programs will also be derived for the characterization of the inter-instruction effects corresponding to the power overhead, which results by executing different instructions in sequence due to the circuit stage changes. Furthermore, specific software structures for creating conditions for pipeline stalls and cache misses will be derived to measure the corresponding power costs. The above experiments will be realized for various operand values and different processing conditions so that the influence of all the factors related to power consumption to be taken into account. All the above power costs will then represent the software related power consumption and will be tabulated in specific tables. It is certainly expected that the information contained in these cost-tables may result in a form of data library, for the automated estimation of the power consumption

5 of different software solutions. To minimize the size of these tables, especially for processors with rich instruction sets, an exploration for the existence of instruction classes with similar power costs will be taken place leading to more compact tables without significant loss in accuracy. The proposed current measuring approach certainly provides more insight information on the power consumption behavior of each processor. An accurate model of the power consumption due to the different instructions or instruction sequences may be derived. The development of software solutions with minimal power consumption may then be achieved on the basis of such modeling. The established set up can also be used for measuring the power consumption of the other components at the board level. In this way, the power cost of the memory accesses the power consumption on DC/DC converters and various microprocessor peripherals per cycle can be measured leading to analogous cycled power models for these components. Also, exploiting the capability for making differential measurements accurate power models for the busses can be created. Having such models, accurate power consumption estimates can be derived at the system level, which may be used for exploring effectively different system implementations very early in the design cycle. 5. CONCLUDING COMMENTS A method for the power consumption estimation of embedded processing systems is presented in this work. The associated hardware configuration for the measurement of the instantaneous current variations I DD, along with the theoretical analysis for the different instruction-level effects which affect the total power consumption have been presented. The exploration of these effects is expected to result to a software tool, for the automated estimation of the power consumption of different software solutions for embedded systems. Such tools are expected to lead the way to embedded systems optimization in terms of power consumption, hardware/software mapping, etc. 6. REFERENCES [1] A. Chandrakasan, S. Sheng, R. Broderson, Low Power CMOS Digital Design, IEEE J. of Solid State Circuits, SC-27, , April [2] V. Tiwari, S. Malik, A. Wolfe, Power Analysis of Embedded Software: A First Step Towards Software Power Minimization, IEEE Trans. VLSI Systems, Vol.2, N.4, pp , Dec [3] V. Tiwari, S. Malik, A. Wolfe, M. Tien-Chien Lee, Instruction Level Power Analysis and Optimization of Software, Int. Conf. on VLSI Design, Banglore, India, Jan [4] M. T.-C. Lee, V. Tiwari, S. Malik, M. Fujita, Power Analysis and Minimization Techniques for Embedded DSP Software, IEEE Trans. on VLSI Systems, pp , Mar [5] F.N. Najm, A Survey of Power Estimation Techniques in VLSI Circuits, IEEE Trans. on VLSI Systems, pp , Dec [6] J. Rassel and M.F. Jacome, «Software Power Estimation and Optimization for High Performance, 32-bit Embedded Processors,» Proc. of ICCD 98. [7] G. Sinevriotis and Th. Stouraitis, «Power Analysis of the ARM 7 Embedded Microprocessor», Proc. of the 9th Inter. Workshop on Power and Timing Modeling, Optimization and Simulation (PATMOS 99), pp , Greece, Oct [8] T. Stouraitis, «Instrumentation setup», Deliverable 2.1, Low Power Software Development for Embedded Applications, SOFLOPO, ESD project 25403, [9] Ph. Givelin, M. Bafleur, E. Tournier, Th. Laopoulos and S. Siskos, "Application of a CMOS current mode approach to on-chip current sensing in smart power circuits", IEE Proceedings - Circuits, Devices and Systems, V.142, N.6, 1995 [10] Siskos S., Laopoulos Th., Hatzopoulos A., Bafleur M., "A current conveyor based BIC sensor for current monitoring in mixed signal circuits", IEEE Int. Conference on Electronics, Ciircuits and Systems, Rhodos, Greece, 1996 [11] A. Hatzopoulos, S. Siskos and Th. Laopoulos, "Current conveyor based test structures for mixedsignal circuits", IEE Proceedings - Circuits, Devices and Systems, V.144, N.4, 1997

Developing an Environment for Embedded Software Energy Estimation

Developing an Environment for Embedded Software Energy Estimation Developing an Environment for Embedded Software Energy Estimation S. Nikolaidis, A. Chatzigeorgiou, T. Laopoulos Department of Physics,Aristotle University of Thessaloniki, Department of Applied Informatics,

More information

Developing an environment for embedded software energy estimation

Developing an environment for embedded software energy estimation Computer Standards & Interfaces 28 (25) 15 158 www.elsevier.com/locate/csi Developing an environment for embedded software energy estimation S. Nikolaidis a, A. Chatzigeorgiou b, T. Laopoulos a, T a Department

More information

INTERNATIONAL JOURNAL OF PROFESSIONAL ENGINEERING STUDIES Volume 9 /Issue 3 / OCT 2017

INTERNATIONAL JOURNAL OF PROFESSIONAL ENGINEERING STUDIES Volume 9 /Issue 3 / OCT 2017 Design of Low Power Adder in ALU Using Flexible Charge Recycling Dynamic Circuit Pallavi Mamidala 1 K. Anil kumar 2 mamidalapallavi@gmail.com 1 anilkumar10436@gmail.com 2 1 Assistant Professor, Dept of

More information

DYNAMIC CIRCUIT TECHNIQUE FOR LOW- POWER MICROPROCESSORS Kuruva Hanumantha Rao 1 (M.tech)

DYNAMIC CIRCUIT TECHNIQUE FOR LOW- POWER MICROPROCESSORS Kuruva Hanumantha Rao 1 (M.tech) DYNAMIC CIRCUIT TECHNIQUE FOR LOW- POWER MICROPROCESSORS Kuruva Hanumantha Rao 1 (M.tech) K.Prasad Babu 2 M.tech (Ph.d) hanumanthurao19@gmail.com 1 kprasadbabuece433@gmail.com 2 1 PG scholar, VLSI, St.JOHNS

More information

DESIGN AND SIMULATION OF 1 BIT ARITHMETIC LOGIC UNIT DESIGN USING PASS-TRANSISTOR LOGIC FAMILIES

DESIGN AND SIMULATION OF 1 BIT ARITHMETIC LOGIC UNIT DESIGN USING PASS-TRANSISTOR LOGIC FAMILIES Volume 120 No. 6 2018, 4453-4466 ISSN: 1314-3395 (on-line version) url: http://www.acadpubl.eu/hub/ http://www.acadpubl.eu/hub/ DESIGN AND SIMULATION OF 1 BIT ARITHMETIC LOGIC UNIT DESIGN USING PASS-TRANSISTOR

More information

Power Analysis of Embedded Software: A First Step towards Software Power Minimization. Vivek Tiwari Sharad Malik Andrew Wolfe

Power Analysis of Embedded Software: A First Step towards Software Power Minimization. Vivek Tiwari Sharad Malik Andrew Wolfe Power Analysis of Embedded Software: A First Step towards Software Power Minimization Vivek Tiwari Sharad Malik Andrew Wolfe Dept. of Electrical Engineering Princeton University, Princeton, NJ 08544 Abstract

More information

Optimum Placement of Decoupling Capacitors on Packages and Printed Circuit Boards Under the Guidance of Electromagnetic Field Simulation

Optimum Placement of Decoupling Capacitors on Packages and Printed Circuit Boards Under the Guidance of Electromagnetic Field Simulation Optimum Placement of Decoupling Capacitors on Packages and Printed Circuit Boards Under the Guidance of Electromagnetic Field Simulation Yuzhe Chen, Zhaoqing Chen and Jiayuan Fang Department of Electrical

More information

DESIGN AND PERFORMANCE ANALYSIS OF CARRY SELECT ADDER

DESIGN AND PERFORMANCE ANALYSIS OF CARRY SELECT ADDER DESIGN AND PERFORMANCE ANALYSIS OF CARRY SELECT ADDER Bhuvaneswaran.M 1, Elamathi.K 2 Assistant Professor, Muthayammal Engineering college, Rasipuram, Tamil Nadu, India 1 Assistant Professor, Muthayammal

More information

Column decoder using PTL for memory

Column decoder using PTL for memory IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p- ISSN: 2278-8735. Volume 5, Issue 4 (Mar. - Apr. 2013), PP 07-14 Column decoder using PTL for memory M.Manimaraboopathy

More information

Implementation of Asynchronous Topology using SAPTL

Implementation of Asynchronous Topology using SAPTL Implementation of Asynchronous Topology using SAPTL NARESH NAGULA *, S. V. DEVIKA **, SK. KHAMURUDDEEN *** *(senior software Engineer & Technical Lead, Xilinx India) ** (Associate Professor, Department

More information

SOFLOPO: Towards Systematic Software Exploitation for Low-Power Designs

SOFLOPO: Towards Systematic Software Exploitation for Low-Power Designs Reprint SOFLOPO: Towards Systematic Software Exploitation for Low-Power Designs G. Sinevriotis, A. Leventis, D. Anastasiadou, C. Stavroulopoulos, T.Papadopoulos, T. Antonakopoulos and T. Stouraitis International

More information

Analysis of 8T SRAM with Read and Write Assist Schemes (UDVS) In 45nm CMOS Technology

Analysis of 8T SRAM with Read and Write Assist Schemes (UDVS) In 45nm CMOS Technology Analysis of 8T SRAM with Read and Write Assist Schemes (UDVS) In 45nm CMOS Technology Srikanth Lade 1, Pradeep Kumar Urity 2 Abstract : UDVS techniques are presented in this paper to minimize the power

More information

Survey on Stability of Low Power SRAM Bit Cells

Survey on Stability of Low Power SRAM Bit Cells International Journal of Electronics Engineering Research. ISSN 0975-6450 Volume 9, Number 3 (2017) pp. 441-447 Research India Publications http://www.ripublication.com Survey on Stability of Low Power

More information

8Kb Logic Compatible DRAM based Memory Design for Low Power Systems

8Kb Logic Compatible DRAM based Memory Design for Low Power Systems 8Kb Logic Compatible DRAM based Memory Design for Low Power Systems Harshita Shrivastava 1, Rajesh Khatri 2 1,2 Department of Electronics & Instrumentation Engineering, Shree Govindram Seksaria Institute

More information

Implementation of ALU Using Asynchronous Design

Implementation of ALU Using Asynchronous Design IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) ISSN: 2278-2834, ISBN: 2278-8735. Volume 3, Issue 6 (Nov. - Dec. 2012), PP 07-12 Implementation of ALU Using Asynchronous Design P.

More information

Design of Low Power Wide Gates used in Register File and Tag Comparator

Design of Low Power Wide Gates used in Register File and Tag Comparator www..org 1 Design of Low Power Wide Gates used in Register File and Tag Comparator Isac Daimary 1, Mohammed Aneesh 2 1,2 Department of Electronics Engineering, Pondicherry University Pondicherry, 605014,

More information

Cache Justification for Digital Signal Processors

Cache Justification for Digital Signal Processors Cache Justification for Digital Signal Processors by Michael J. Lee December 3, 1999 Cache Justification for Digital Signal Processors By Michael J. Lee Abstract Caches are commonly used on general-purpose

More information

Energy Issues in Software Design of Embedded Systems

Energy Issues in Software Design of Embedded Systems Energy Issues in Software Design of Embedded Systems A. CHATZIGEORGIOU, G. STEPHANIDES Department of Applied Informatics University of Macedonia 156 Egnatia Str., 54006 Thessaloniki GREECE alec@ieee.org,

More information

Accepted for publication in the IEEE Transactions on VLSI Systems, December, Power Analysis and Minimization Techniques for Embedded

Accepted for publication in the IEEE Transactions on VLSI Systems, December, Power Analysis and Minimization Techniques for Embedded Accepted for publication in the IEEE Transactions on VLSI Systems, December, 1996 Power Analysis and Minimization Techniques for Embedded DSP Software Mike Tien-Chien Lee y, Vivek Tiwari, Sharad Malik,

More information

VLSI Test Technology and Reliability (ET4076)

VLSI Test Technology and Reliability (ET4076) VLSI Test Technology and Reliability (ET4076) Lecture 8(2) I DDQ Current Testing (Chapter 13) Said Hamdioui Computer Engineering Lab Delft University of Technology 2009-2010 1 Learning aims Describe the

More information

Content Addressable Memory with Efficient Power Consumption and Throughput

Content Addressable Memory with Efficient Power Consumption and Throughput International journal of Emerging Trends in Science and Technology Content Addressable Memory with Efficient Power Consumption and Throughput Authors Karthik.M 1, R.R.Jegan 2, Dr.G.K.D.Prasanna Venkatesan

More information

Low Power using Match-Line Sensing in Content Addressable Memory S. Nachimuthu, S. Ramesh 1 Department of Electrical and Electronics Engineering,

Low Power using Match-Line Sensing in Content Addressable Memory S. Nachimuthu, S. Ramesh 1 Department of Electrical and Electronics Engineering, Low Power using Match-Line Sensing in Content Addressable Memory S. Nachimuthu, S. Ramesh 1 Department of Electrical and Electronics Engineering, K.S.R College of Engineering, Tiruchengode, Tamilnadu,

More information

Analysis of Different Multiplication Algorithms & FPGA Implementation

Analysis of Different Multiplication Algorithms & FPGA Implementation IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 4, Issue 2, Ver. I (Mar-Apr. 2014), PP 29-35 e-issn: 2319 4200, p-issn No. : 2319 4197 Analysis of Different Multiplication Algorithms & FPGA

More information

6T- SRAM for Low Power Consumption. Professor, Dept. of ExTC, PRMIT &R, Badnera, Amravati, Maharashtra, India 1

6T- SRAM for Low Power Consumption. Professor, Dept. of ExTC, PRMIT &R, Badnera, Amravati, Maharashtra, India 1 6T- SRAM for Low Power Consumption Mrs. J.N.Ingole 1, Ms.P.A.Mirge 2 Professor, Dept. of ExTC, PRMIT &R, Badnera, Amravati, Maharashtra, India 1 PG Student [Digital Electronics], Dept. of ExTC, PRMIT&R,

More information

Computer Hardware Requirements for Real-Time Applications

Computer Hardware Requirements for Real-Time Applications Lecture (4) Computer Hardware Requirements for Real-Time Applications Prof. Kasim M. Al-Aubidy Computer Engineering Department Philadelphia University Real-Time Systems, Prof. Kasim Al-Aubidy 1 Lecture

More information

VLSI DESIGN OF REDUCED INSTRUCTION SET COMPUTER PROCESSOR CORE USING VHDL

VLSI DESIGN OF REDUCED INSTRUCTION SET COMPUTER PROCESSOR CORE USING VHDL International Journal of Electronics, Communication & Instrumentation Engineering Research and Development (IJECIERD) ISSN 2249-684X Vol.2, Issue 3 (Spl.) Sep 2012 42-47 TJPRC Pvt. Ltd., VLSI DESIGN OF

More information

SYMPATHETIC INRUSH PHENOMENA IN PARALLEL AND SERIES CONNECTED TRANSFORMERS USING PSCAD

SYMPATHETIC INRUSH PHENOMENA IN PARALLEL AND SERIES CONNECTED TRANSFORMERS USING PSCAD SYMPATHETIC INRUSH PHENOMENA IN PARALLEL AND SERIES CONNECTED TRANSFORMERS USING PSCAD Tejpal P. Purohit 1, Prashant K. Bhavsar 2 Department of Electrical Engineering, Government Polytechnic Palanpur,

More information

Embedded Soc using High Performance Arm Core Processor D.sridhar raja Assistant professor, Dept. of E&I, Bharath university, Chennai

Embedded Soc using High Performance Arm Core Processor D.sridhar raja Assistant professor, Dept. of E&I, Bharath university, Chennai Embedded Soc using High Performance Arm Core Processor D.sridhar raja Assistant professor, Dept. of E&I, Bharath university, Chennai Abstract: ARM is one of the most licensed and thus widespread processor

More information

National Semiconductor Application Note 368 Larry Wakeman March 1984

National Semiconductor Application Note 368 Larry Wakeman March 1984 An Introduction to and Comparison of 54HCT 74HCT TTL Compatible CMOS Logic The 54HC 74HC series of high speed CMOS logic is unique in that it has a sub-family of components designated 54HCT 74HCT Generally

More information

VERY large scale integration (VLSI) design for power

VERY large scale integration (VLSI) design for power IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 7, NO. 1, MARCH 1999 25 Short Papers Segmented Bus Design for Low-Power Systems J. Y. Chen, W. B. Jone, Member, IEEE, J. S. Wang,

More information

OUTLINE Introduction Power Components Dynamic Power Optimization Conclusions

OUTLINE Introduction Power Components Dynamic Power Optimization Conclusions OUTLINE Introduction Power Components Dynamic Power Optimization Conclusions 04/15/14 1 Introduction: Low Power Technology Process Hardware Architecture Software Multi VTH Low-power circuits Parallelism

More information

PNS-FCR: Flexible Charge Recycling Dynamic Circuit Technique for Low- Power Microprocessors

PNS-FCR: Flexible Charge Recycling Dynamic Circuit Technique for Low- Power Microprocessors PNS-FCR: Flexible Charge Recycling Dynamic Circuit Technique for Low- Power Microprocessors N.Lakshmi Tejaswani Devi Department of Electronics & Communication Engineering Sanketika Vidya Parishad Engineering

More information

Low Power SRAM Design with Reduced Read/Write Time

Low Power SRAM Design with Reduced Read/Write Time International Journal of Information and Computation Technology. ISSN 0974-2239 Volume 3, Number 3 (2013), pp. 195-200 International Research Publications House http://www. irphouse.com /ijict.htm Low

More information

Mohammad Jafar Navabi Medtronic Microelectronics Center, Tempe, Arizona, USA

Mohammad Jafar Navabi Medtronic Microelectronics Center, Tempe, Arizona, USA MICROCONTROLLERS Mohammad Jafar Navabi Medtronic Microelectronics Center, Tempe, Arizona, USA Keywords: Microprocessor, peripheral devices, CPU, I/O, analog to digital converter, digital to analog converter,

More information

TESTING SET-UP FOR DIGITAL PART OF THE POWER- METER IC

TESTING SET-UP FOR DIGITAL PART OF THE POWER- METER IC TESTING SET-UP FOR DIGITAL PART OF THE POWER- METER IC Borisav Jovanović, Miljana Sokolović, Milan Savić, Milun Jevtić, Predrag Petković Laboratory for Electronic Design Automation, Faculty of Electronic

More information

Department of Electrical and Computer Engineering, University of Rochester, Computer Studies Building,

Department of Electrical and Computer Engineering, University of Rochester, Computer Studies Building, ,, Computer Studies Building, BOX 270231, Rochester, New York 14627 585.360.6181 (phone) kose@ece.rochester.edu http://www.ece.rochester.edu/ kose Research Interests and Vision Research interests: Design

More information

Implementation of DRAM Cell Using Transmission Gate

Implementation of DRAM Cell Using Transmission Gate Implementation of DRAM Cell Using Transmission Gate Pranita J. Giri 1, Sunanda K. Kapde 2 PG Student, Department of E&TC, Deogiri Institute of Engineering & Management Studies, Aurangabad (MS), India 1

More information

EE5780 Advanced VLSI CAD

EE5780 Advanced VLSI CAD EE5780 Advanced VLSI CAD Lecture 1 Introduction Zhuo Feng 1.1 Prof. Zhuo Feng Office: EERC 513 Phone: 487-3116 Email: zhuofeng@mtu.edu Class Website http://www.ece.mtu.edu/~zhuofeng/ee5780fall2013.html

More information

Improving Memory Repair by Selective Row Partitioning

Improving Memory Repair by Selective Row Partitioning 200 24th IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems Improving Memory Repair by Selective Row Partitioning Muhammad Tauseef Rab, Asad Amin Bawa, and Nur A. Touba Computer

More information

Published in the IEEE Transactions on VLSI Systems, December Power Analysis of Embedded Software:

Published in the IEEE Transactions on VLSI Systems, December Power Analysis of Embedded Software: Published in the IEEE Transactions on VLSI Systems, December 1994 Power Analysis of Embedded Software: A First Step Towards Software Power Minimization Vivek Tiwari Sharad Malik Andrew Wolfe Dept. of Electrical

More information

Introduction to ICs and Transistor Fundamentals

Introduction to ICs and Transistor Fundamentals Introduction to ICs and Transistor Fundamentals A Brief History 1958: First integrated circuit Flip-flop using two transistors Built by Jack Kilby at Texas Instruments 2003 Intel Pentium 4 mprocessor (55

More information

Design of a Pipelined 32 Bit MIPS Processor with Floating Point Unit

Design of a Pipelined 32 Bit MIPS Processor with Floating Point Unit Design of a Pipelined 32 Bit MIPS Processor with Floating Point Unit P Ajith Kumar 1, M Vijaya Lakshmi 2 P.G. Student, Department of Electronics and Communication Engineering, St.Martin s Engineering College,

More information

Unique Journal of Engineering and Advanced Sciences Available online: Research Article

Unique Journal of Engineering and Advanced Sciences Available online:   Research Article ISSN 2348-375X Unique Journal of Engineering and Advanced Sciences Available online: www.ujconline.net Research Article A POWER EFFICIENT CAM DESIGN USING MODIFIED PARITY BIT MATCHING TECHNIQUE Karthik

More information

POWER AND AREA EFFICIENT 10T SRAM WITH IMPROVED READ STABILITY

POWER AND AREA EFFICIENT 10T SRAM WITH IMPROVED READ STABILITY ISSN: 2395-1680 (ONLINE) ICTACT JOURNAL ON MICROELECTRONICS, APRL 2017, VOLUME: 03, ISSUE: 01 DOI: 10.21917/ijme.2017.0059 POWER AND AREA EFFICIENT 10T SRAM WITH IMPROVED READ STABILITY T.S. Geethumol,

More information

Design and Implementation of CVNS Based Low Power 64-Bit Adder

Design and Implementation of CVNS Based Low Power 64-Bit Adder Design and Implementation of CVNS Based Low Power 64-Bit Adder Ch.Vijay Kumar Department of ECE Embedded Systems & VLSI Design Vishakhapatnam, India Sri.Sagara Pandu Department of ECE Embedded Systems

More information

Low Power Mapping of Video Processing Applications on VLIW Multimedia Processors

Low Power Mapping of Video Processing Applications on VLIW Multimedia Processors Low Power Mapping of Video Processing Applications on VLIW Multimedia Processors K. Masselos 1,2, F. Catthoor 2, C. E. Goutis 1, H. DeMan 2 1 VLSI Design Laboratory, Department of Electrical and Computer

More information

Sencer Yeralan and Helen Emery Gainesville, Florida January 2000

Sencer Yeralan and Helen Emery Gainesville, Florida January 2000 Preface This book is an outgrowth of the notes and experiments developed for the graduate classes at the University of Florida. It is intended for students, hobbyists, engineers, and scientists who would

More information

1 Introduction Data format converters (DFCs) are used to permute the data from one format to another in signal processing and image processing applica

1 Introduction Data format converters (DFCs) are used to permute the data from one format to another in signal processing and image processing applica A New Register Allocation Scheme for Low Power Data Format Converters Kala Srivatsan, Chaitali Chakrabarti Lori E. Lucke Department of Electrical Engineering Minnetronix, Inc. Arizona State University

More information

A Countermeasure Circuit for Secure AES Engine against Differential Power Analysis

A Countermeasure Circuit for Secure AES Engine against Differential Power Analysis A Countermeasure Circuit for Secure AES Engine against Differential Power Analysis V.S.Subarsana 1, C.K.Gobu 2 PG Scholar, Member IEEE, SNS College of Engineering, Coimbatore, India 1 Assistant Professor

More information

THINKING COMPLEXITY AND ENERGY COMPLEXITY OF SOFTWARE IN EMBEDDED SYSTEMS

THINKING COMPLEXITY AND ENERGY COMPLEXITY OF SOFTWARE IN EMBEDDED SYSTEMS THINKING COMPLEXITY AND ENERGY COMPLEXITY OF SOFTWARE IN EMBEDDED SYSTEMS Kostas Zotos, Andreas Litke, Alexander Chatzigeorgiou, George Stephanides, Maria Nikita Dept. of Applied Informatics, University

More information

ISSN: [Garade* et al., 6(1): January, 2017] Impact Factor: 4.116

ISSN: [Garade* et al., 6(1): January, 2017] Impact Factor: 4.116 IJESRT INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY FULLY REUSED VLSI ARCHITECTURE OF DSRC ENCODERS USING SOLS TECHNIQUE Supriya Shivaji Garade*, Prof. P. R. Badadapure * Department

More information

Massively Parallel Computing on Silicon: SIMD Implementations. V.M.. Brea Univ. of Santiago de Compostela Spain

Massively Parallel Computing on Silicon: SIMD Implementations. V.M.. Brea Univ. of Santiago de Compostela Spain Massively Parallel Computing on Silicon: SIMD Implementations V.M.. Brea Univ. of Santiago de Compostela Spain GOAL Give an overview on the state-of of-the- art of Digital on-chip CMOS SIMD Solutions,

More information

Synthesis of DSP Systems using Data Flow Graphs for Silicon Area Reduction

Synthesis of DSP Systems using Data Flow Graphs for Silicon Area Reduction Synthesis of DSP Systems using Data Flow Graphs for Silicon Area Reduction Rakhi S 1, PremanandaB.S 2, Mihir Narayan Mohanty 3 1 Atria Institute of Technology, 2 East Point College of Engineering &Technology,

More information

Keywords: Soft Core Processor, Arithmetic and Logical Unit, Back End Implementation and Front End Implementation.

Keywords: Soft Core Processor, Arithmetic and Logical Unit, Back End Implementation and Front End Implementation. ISSN 2319-8885 Vol.03,Issue.32 October-2014, Pages:6436-6440 www.ijsetr.com Design and Modeling of Arithmetic and Logical Unit with the Platform of VLSI N. AMRUTHA BINDU 1, M. SAILAJA 2 1 Dept of ECE,

More information

Analysis and Design of Low Voltage Low Noise LVDS Receiver

Analysis and Design of Low Voltage Low Noise LVDS Receiver IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p- ISSN: 2278-8735.Volume 9, Issue 2, Ver. V (Mar - Apr. 2014), PP 10-18 Analysis and Design of Low Voltage Low Noise

More information

N-Model Tests for VLSI Circuits

N-Model Tests for VLSI Circuits 40th Southeastern Symposium on System Theory University of New Orleans New Orleans, LA, USA, March 16-18, 2008 MC3.6 N-Model Tests for VLSI Circuits Nitin Yogi and Vishwani D. Agrawal Auburn University,

More information

ECE 637 Integrated VLSI Circuits. Introduction. Introduction EE141

ECE 637 Integrated VLSI Circuits. Introduction. Introduction EE141 ECE 637 Integrated VLSI Circuits Introduction EE141 1 Introduction Course Details Instructor Mohab Anis; manis@vlsi.uwaterloo.ca Text Digital Integrated Circuits, Jan Rabaey, Prentice Hall, 2 nd edition

More information

Using a Victim Buffer in an Application-Specific Memory Hierarchy

Using a Victim Buffer in an Application-Specific Memory Hierarchy Using a Victim Buffer in an Application-Specific Memory Hierarchy Chuanjun Zhang Depment of lectrical ngineering University of California, Riverside czhang@ee.ucr.edu Frank Vahid Depment of Computer Science

More information

SECTION 1 INTRODUCTION. Walt Kester

SECTION 1 INTRODUCTION. Walt Kester SECTION 1 INTRODUCTION Walt Kester This book deals with sensors and associated signal conditioning circuits. The topic is broad, but the focus of this book is to concentrate on circuit and signal processing

More information

Ternary Content Addressable Memory Types And Matchline Schemes

Ternary Content Addressable Memory Types And Matchline Schemes RESEARCH ARTICLE OPEN ACCESS Ternary Content Addressable Memory Types And Matchline Schemes Sulthana A 1, Meenakshi V 2 1 Student, ME - VLSI DESIGN, Sona College of Technology, Tamilnadu, India 2 Assistant

More information

Embedded SRAM Technology for High-End Processors

Embedded SRAM Technology for High-End Processors Embedded SRAM Technology for High-End Processors Hiroshi Nakadai Gaku Ito Toshiyuki Uetake Fujitsu is the only company in Japan that develops its own processors for use in server products that support

More information

Chapter 4. The Processor

Chapter 4. The Processor Chapter 4 The Processor Introduction CPU performance factors Instruction count Determined by ISA and compiler CPI and Cycle time Determined by CPU hardware We will examine two MIPS implementations A simplified

More information

PERFORMANCE ANALYSIS OF HIGH EFFICIENCY LOW DENSITY PARITY-CHECK CODE DECODER FOR LOW POWER APPLICATIONS

PERFORMANCE ANALYSIS OF HIGH EFFICIENCY LOW DENSITY PARITY-CHECK CODE DECODER FOR LOW POWER APPLICATIONS American Journal of Applied Sciences 11 (4): 558-563, 2014 ISSN: 1546-9239 2014 Science Publication doi:10.3844/ajassp.2014.558.563 Published Online 11 (4) 2014 (http://www.thescipub.com/ajas.toc) PERFORMANCE

More information

250nm Technology Based Low Power SRAM Memory

250nm Technology Based Low Power SRAM Memory IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 5, Issue 1, Ver. I (Jan - Feb. 2015), PP 01-10 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org 250nm Technology Based Low Power

More information

Journal of Engineering Technology Volume 6, Special Issue on Technology Innovations and Applications Oct. 2017, PP

Journal of Engineering Technology Volume 6, Special Issue on Technology Innovations and Applications Oct. 2017, PP Oct. 07, PP. 00-05 Implementation of a digital neuron using system verilog Azhar Syed and Vilas H Gaidhane Department of Electrical and Electronics Engineering, BITS Pilani Dubai Campus, DIAC Dubai-345055,

More information

STUDY OF SRAM AND ITS LOW POWER TECHNIQUES

STUDY OF SRAM AND ITS LOW POWER TECHNIQUES INTERNATIONAL JOURNAL OF ELECTRONICS AND COMMUNICATION ENGINEERING & TECHNOLOGY (IJECET) International Journal of Electronics and Communication Engineering & Technology (IJECET), ISSN ISSN 0976 6464(Print)

More information

Computer Engineering Syllabus 2017

Computer Engineering Syllabus 2017 INTRODUCTION The Canadian Engineering Qualifications Board of Engineers Canada issues the Examination Syllabus that includes a continually increasing number of engineering disciplines. Each discipline

More information

AIIA shot boundary detection at TRECVID 2006

AIIA shot boundary detection at TRECVID 2006 AIIA shot boundary detection at TRECVID 6 Z. Černeková, N. Nikolaidis and I. Pitas Artificial Intelligence and Information Analysis Laboratory Department of Informatics Aristotle University of Thessaloniki

More information

DESIGN AND SIMULATION OF 1 BIT ARITHMETIC LOGIC UNIT DESIGN USINGPASS-TRANSISTOR LOGIC FAMILIES

DESIGN AND SIMULATION OF 1 BIT ARITHMETIC LOGIC UNIT DESIGN USINGPASS-TRANSISTOR LOGIC FAMILIES Volume 120 No. 6 2018, 4163-4178 ISSN: 1314-3395 (on-line version) url: http://www.acadpubl.eu/hub/ http://www.acadpubl.eu/hub/ DESIGN AND SIMULATION OF 1 BIT ARITHMETIC LOGIC UNIT DESIGN USINGPASS-TRANSISTOR

More information

Design of 2-Bit ALU using CMOS & GDI Logic Architectures.

Design of 2-Bit ALU using CMOS & GDI Logic Architectures. Design of 2-Bit ALU using CMOS & GDI Logic Architectures. Sachin R 1, Sachin R M 2, Sanjay S Nayak 3, Rajiv Gopal 4 1, 2, 3 UG Students, Dept. of ECE New Horizon College of Engineering, Bengaluru 4 Asst.

More information

International Journal of Scientific & Engineering Research, Volume 5, Issue 2, February ISSN

International Journal of Scientific & Engineering Research, Volume 5, Issue 2, February ISSN International Journal of Scientific & Engineering Research, Volume 5, Issue 2, February-2014 938 LOW POWER SRAM ARCHITECTURE AT DEEP SUBMICRON CMOS TECHNOLOGY T.SANKARARAO STUDENT OF GITAS, S.SEKHAR DILEEP

More information

Serial Port Complete

Serial Port Complete Serial Port Complete Programming and Circuits for RS-232 and RS-485 Links and Networks Network Programming Tips & Tricks Cables, Connectors, & Terminations Sample Applications for Visual Basic Basic Stamp

More information

ESD Protection Design for Mixed-Voltage I/O Interfaces -- Overview

ESD Protection Design for Mixed-Voltage I/O Interfaces -- Overview ESD Protection Design for Mixed-Voltage Interfaces -- Overview Ming-Dou Ker and Kun-Hsien Lin Abstract Electrostatic discharge (ESD) protection design for mixed-voltage interfaces has been one of the key

More information

IC Testing and Development in Semiconductor Area

IC Testing and Development in Semiconductor Area IC Testing and Development in Semiconductor Area Prepare by Lee Zhang, 2004 Outline 1. Electronic Industry Development 2. Semiconductor Industry Development 4Electronic Industry Development Electronic

More information

THE latest generation of microprocessors uses a combination

THE latest generation of microprocessors uses a combination 1254 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 30, NO. 11, NOVEMBER 1995 A 14-Port 3.8-ns 116-Word 64-b Read-Renaming Register File Creigton Asato Abstract A 116-word by 64-b register file for a 154 MHz

More information

Low Power Circuits using Modified Gate Diffusion Input (GDI)

Low Power Circuits using Modified Gate Diffusion Input (GDI) IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 4, Issue 5, Ver. II (Sep-Oct. 2014), PP 70-76 e-issn: 2319 4200, p-issn No. : 2319 4197 Low Power Circuits using Modified Gate Diffusion Input

More information

POWER ANALYSIS RESISTANT SRAM

POWER ANALYSIS RESISTANT SRAM POWER ANALYSIS RESISTANT ENGİN KONUR, TÜBİTAK-UEKAE, TURKEY, engin@uekae.tubitak.gov.tr YAMAN ÖZELÇİ, TÜBİTAK-UEKAE, TURKEY, yaman@uekae.tubitak.gov.tr EBRU ARIKAN, TÜBİTAK-UEKAE, TURKEY, ebru@uekae.tubitak.gov.tr

More information

Microelettronica. J. M. Rabaey, "Digital integrated circuits: a design perspective" EE141 Microelettronica

Microelettronica. J. M. Rabaey, Digital integrated circuits: a design perspective EE141 Microelettronica Microelettronica J. M. Rabaey, "Digital integrated circuits: a design perspective" Introduction Why is designing digital ICs different today than it was before? Will it change in future? The First Computer

More information

COMPUTER ORGANIZATION AND DESIGN. 5 th Edition. The Hardware/Software Interface. Chapter 4. The Processor

COMPUTER ORGANIZATION AND DESIGN. 5 th Edition. The Hardware/Software Interface. Chapter 4. The Processor COMPUTER ORGANIZATION AND DESIGN The Hardware/Software Interface 5 th Edition Chapter 4 The Processor Introduction CPU performance factors Instruction count Determined by ISA and compiler CPI and Cycle

More information

ELECTRONICS MANUFACTURE-The In-Circuit Test sequence

ELECTRONICS MANUFACTURE-The In-Circuit Test sequence ELECTRONICS MANUFACTURE-The In-Circuit Test sequence In-Circuit Test comprises several sections, each consisting of a series of tests on individual devices. By testing devices individually, failures can

More information

Research and Design of Universal Proportional Valve Controller

Research and Design of Universal Proportional Valve Controller Open Access Library Journal 2018, Volume 5, e4588 ISSN Online: 2333-9721 ISSN Print: 2333-9705 Research and Design of Universal Proportional Valve Controller Qiyu Zhang, Xiaoxiao Cao, Minghai Duan, Xiaohui

More information

Chapter 2 On-Chip Protection Solution for Radio Frequency Integrated Circuits in Standard CMOS Process

Chapter 2 On-Chip Protection Solution for Radio Frequency Integrated Circuits in Standard CMOS Process Chapter 2 On-Chip Protection Solution for Radio Frequency Integrated Circuits in Standard CMOS Process 2.1 Introduction Standard CMOS technologies have been increasingly used in RF IC applications mainly

More information

How Much Logic Should Go in an FPGA Logic Block?

How Much Logic Should Go in an FPGA Logic Block? How Much Logic Should Go in an FPGA Logic Block? Vaughn Betz and Jonathan Rose Department of Electrical and Computer Engineering, University of Toronto Toronto, Ontario, Canada M5S 3G4 {vaughn, jayar}@eecgutorontoca

More information

Managing Dynamic Reconfiguration Overhead in Systems-on-a-Chip Design Using Reconfigurable Datapaths and Optimized Interconnection Networks

Managing Dynamic Reconfiguration Overhead in Systems-on-a-Chip Design Using Reconfigurable Datapaths and Optimized Interconnection Networks Managing Dynamic Reconfiguration Overhead in Systems-on-a-Chip Design Using Reconfigurable Datapaths and Optimized Interconnection Networks Zhining Huang, Sharad Malik Electrical Engineering Department

More information

Analog, Digital Signals; Computer Structure

Analog, Digital Signals; Computer Structure Analog, Digital Signals; Computer Structure David M. Auslander Mechanical Engineering University of California at Berkeley Copyright 2007, D.M.Auslander Signal Impedance Relationship of current and voltage

More information

Gotchas for USB Measurement

Gotchas for USB Measurement Gotchas for USB Measurement USB provides test engineers with an inexpensive, easy-to-use, highspeed bus-integration standard for computer-based measurement systems. The standard, however, does not mandate

More information

INTERCONNECT TESTING WITH BOUNDARY SCAN

INTERCONNECT TESTING WITH BOUNDARY SCAN INTERCONNECT TESTING WITH BOUNDARY SCAN Paul Wagner Honeywell, Inc. Solid State Electronics Division 12001 State Highway 55 Plymouth, Minnesota 55441 Abstract Boundary scan is a structured design technique

More information

The Serial Commutator FFT

The Serial Commutator FFT The Serial Commutator FFT Mario Garrido Gálvez, Shen-Jui Huang, Sau-Gee Chen and Oscar Gustafsson Journal Article N.B.: When citing this work, cite the original article. 2016 IEEE. Personal use of this

More information

RISC Processors and Parallel Processing. Section and 3.3.6

RISC Processors and Parallel Processing. Section and 3.3.6 RISC Processors and Parallel Processing Section 3.3.5 and 3.3.6 The Control Unit When a program is being executed it is actually the CPU receiving and executing a sequence of machine code instructions.

More information

Efficient Current Mode Sense Amplifier for Low Power SRAM

Efficient Current Mode Sense Amplifier for Low Power SRAM Efficient Current Mode Sense Amplifier for Low Power SRAM A. V. Gayatri Department of Electronics and Communication Engineering, K.S. Rangasamy College of Technology, Tiruchengode, Namakkal Dist, Tamilnadu,

More information

SF-LRU Cache Replacement Algorithm

SF-LRU Cache Replacement Algorithm SF-LRU Cache Replacement Algorithm Jaafar Alghazo, Adil Akaaboune, Nazeih Botros Southern Illinois University at Carbondale Department of Electrical and Computer Engineering Carbondale, IL 6291 alghazo@siu.edu,

More information

Full Custom Layout Optimization Using Minimum distance rule, Jogs and Depletion sharing

Full Custom Layout Optimization Using Minimum distance rule, Jogs and Depletion sharing Full Custom Layout Optimization Using Minimum distance rule, Jogs and Depletion sharing Umadevi.S #1, Vigneswaran.T #2 # Assistant Professor [Sr], School of Electronics Engineering, VIT University, Vandalur-

More information

EMBEDDED SYSTEMS COURSE CURRICULUM

EMBEDDED SYSTEMS COURSE CURRICULUM On a Mission to Transform Talent EMBEDDED SYSTEMS COURSE CURRICULUM Table of Contents Module 1: Basic Electronics and PCB Software Overview (Duration: 1 Week)...2 Module 2: Embedded C Programming (Duration:

More information

Simulation and Analysis of SRAM Cell Structures at 90nm Technology

Simulation and Analysis of SRAM Cell Structures at 90nm Technology Vol.1, Issue.2, pp-327-331 ISSN: 2249-6645 Simulation and Analysis of SRAM Cell Structures at 90nm Technology Sapna Singh 1, Neha Arora 2, Prof. B.P. Singh 3 (Faculty of Engineering and Technology, Mody

More information

Optimized CAM Design

Optimized CAM Design Vol. 3, Issue. 5, Sep - Oct. 2013 pp-2640-2645 ISSN: 2249-6645 Optimized CAM Design S. Haroon Rasheed 1, M. Anand Vijay Kamalnath 2 Department of ECE, AVR & SVR E C T, Nandyal, India Abstract: Content-addressable

More information

Lab. Course Goals. Topics. What is VLSI design? What is an integrated circuit? VLSI Design Cycle. VLSI Design Automation

Lab. Course Goals. Topics. What is VLSI design? What is an integrated circuit? VLSI Design Cycle. VLSI Design Automation Course Goals Lab Understand key components in VLSI designs Become familiar with design tools (Cadence) Understand design flows Understand behavioral, structural, and physical specifications Be able to

More information

Static Compaction Techniques to Control Scan Vector Power Dissipation

Static Compaction Techniques to Control Scan Vector Power Dissipation Static Compaction Techniques to Control Scan Vector Power Dissipation Ranganathan Sankaralingam, Rama Rao Oruganti, and Nur A. Touba Computer Engineering Research Center Department of Electrical and Computer

More information

Benchmarking: Classic DSPs vs. Microcontrollers

Benchmarking: Classic DSPs vs. Microcontrollers Benchmarking: Classic DSPs vs. Microcontrollers Thomas STOLZE # ; Klaus-Dietrich KRAMER # ; Wolfgang FENGLER * # Department of Automation and Computer Science, Harz University Wernigerode Wernigerode,

More information

Research on Image Sensor System Acquisition Based on ARM

Research on Image Sensor System Acquisition Based on ARM Sensors & Transducers, Vol. 161, Issue 1, December 013, pp. 86-91 Sensors & Transducers 013 by IFSA http://www.sensorsportal.com Research on Image Sensor System Acquisition Based on ARM Yao NAN The Information

More information

Low Power System Design

Low Power System Design Low Power System Design Module 18-1 (1.5 hours): Case study: System-Level Power Estimation and Reduction Jan. 2007 Naehyuck Chang EECS/CSE Seoul National University Contents In-house tools for low-power

More information