FPGA Based Agrep for DNA Microarray Sequence Searching

Size: px
Start display at page:

Download "FPGA Based Agrep for DNA Microarray Sequence Searching"

Transcription

1 2009 International Conference on Computer Engineering and Applications IPCSIT vol.2 (20) (20) IACSIT Press, Singapore FPGA Based Agrep for DNA Microarray Sequence Searching Gabriel F. Villorente, 2 Mark Oliver L. Ouano, 3 Mary Grace C. Dy Jongco, 4 Emilyn B. Escabarte Research and Development Division, Advanced Science and Technology Institute Department of Science and Technology Abstract. DNA sequence matching done on a large database that grows exponentially is a fundamental task in Bioinformatics. The exponential growth drives the need to increase computational power. One of the emerging means for accelerating bioinformatics applications is through cluster computing; however, many research activities are done against these clusters. With the aim to offload straightforward tasks such as sequence matching from these cluster nodes, this paper utilizes the capability of FPGA to parallelize such processes and introduces a hardware-based implementation of Agrep, a fast text searching algorithm capable to allow approximate matches. The design was implemented in Opal Kelly XEM300 and was tested using DNA microarray sequences from the NCBI virus probe database. Results indicate significant improvement in performance in terms of runtime and throughput as compared to a software-based Agrep. Keywords: FPGA, Agrep, Bioinformatics, high-performance computing, reconfigurable computing, text searching, sequence searching, DNA.. Introduction Cluster computing offers cost effective solution to high performance computing applications. Such of these applications are those in Bioinformatics. These applications range from database sequence searching to pattern alignment, restriction enzyme mapping, and others. In all of these highly compute intensive applications, sequence searching or pattern matching is present. In addition, rapid growth in databases (e.g. GenBank) propels the need for higher computational power. If many applications are running altogether on the cluster, simple iterative operations would only eat up resources and compete with critical and computationally intensive tasks. A solution to this it to offload simple operations such as sequence matching to auxiliary compute nodes thereby improving performance using reconfigurable hardware technology to parallelize processes... Objectives This project aims to implement Agrep, a fast text searching algorithm, on a Field Programmable Gate Array (FPGA) as an auxiliary computing peripheral..2. Project Scope The auxiliary computing peripheral will be used to search DNA micro array sequences from the NCBI Virus Probe database. Each Agrep module supports a query length from 0 to 70 DNA characters. Inexact string matching is also allowed, tolerating a maximum of 3-character errors. The prototype implemented in this study runs on Opal Kelly XEM300 attached to a USB port of a host computer. Tel.: (+632) loc 30 Fax: (+632) address: gabo@asti.dost.gov.ph; 2 markoliver@asti.dost.gov.ph; 3 gracedj@asti.dost.gov.ph; 4 emilyn@asti.dost.gov.ph 206

2 2. Agrep Algorithm The Agrep, popularly known as an approximate grep, is a fast text searching algorithm. It was developed by Sun Wu and Udi Manber from the Department of Computer Science, University of Arizona. This string searching algorithm incorporates possible errors; hence, this makes the process more flexible to cater to approximate string matches. With a string query compared against a database, the algorithm can detect exact and approximate matches, which are those with insertions, deletions, and substitutions. The approximate matches are introduced to find all substrings with a measure of closeness relative to the query pattern. File Stream Query String Character Masking Set of Characters Exact Matching R Rshift[ R ]AND S 0 = 0 j+ j c Matching with Errors: Insertion d d d R = j Rshift[ Rj ]AND Sc OR R + j Deletion R = Rshift[ R ]AND S OR Rshift[ R ] d d d j+ j c j+ Substitution R Rshift R S Rshift R d = d d j+ [ j ]AND c OR [ j ] Agrep Algorithm Fig. : Agrep Algorithm. Agrep algorithm is composed of two stages stage, character masking and stage 2, scanning stage. Character masking generates bit arrays with the same size as the query string that denotes characters that appear in the pattern. Every character in the file stream with a generated mask enters the scanning stage. The scanning stage performs the shift, OR, and AND operations depending on a set of working equations for exact match and matches with insertion(s), deletion(s) and substitution(s). 3. Design and Implementation 3.. Agrep Hardware Fig. 2: Agrep base module. Fig. 3: Agrep d-error module. 207

3 Agrep hardware implementation is designed to be modular. The d-error module, connected to the base module, checks for the approximate match. The number of errors that can be detected depends on how many times the d-error module is replicated and cascaded System Architecture Fig. 4 shows the system overview. It is a computer cluster composed of servers. One of the servers has the database and this where the FPGA board is attached to for the purpose of optimum performance. The entire system (FPGA based Agrep) is subdivided into two, software and hardware, which communicates through the USB protocol. Fig. 4: System Overview Software It manages the sequence of events during runtime as well as the function calls to perform the search. It has the following components: Database - a collection of DNA microarray sequences File Table - written here are the locations to search in User Interface - a web based user interface FrontPanel DLL - a library of functions for USB communications FPGA setup - setups the FPGA board Read Block - obtains the results from the FPGA Search Process - conducts the actual search process Preprocess - parses the database prior to actual processing of the database Fig. 5: Software Block Diagram. 208

4 Software has two main processes, preprocessing and the actual search process. Preprocessing s task is to extract the DNA microarray sequence from the files, check and replace invalid characters ( A, C, T & G only are allowed), perform conversion from 8-bit ASCII to 2-bit code and save the results into a dbase file. On the search process, the query and dbase files are transmitted to the board; then, the FPGA performs the search. Once done, the result data is transmitted back. The software presents the result as a text file where the user interface block presents it to the user after reading the file FPGA Fig. 6: Block Diagram of FPGA Design. FPGA design architecture, as illustrated above, is designed to implement Agrep algorithm in hardware and to communicate to the host software through the USB protocol. Each of the blocks is described below. HDL Host Interface - handles FPGA-PC communication Expander - generates the file stream masks Query - accepts the query file from the software including the query length Agrep Modules - perform the actual searching Result Counter - counter that increments if there is a match and/or approximate match First In First Out Buffer - used for temporary storage DCM (Digital Clock Manager) - provides the clock signals The FPGA accepts data streams from the software and performs the search. A total of 8 Agrep modules are embedded in the FPGA. Each Agrep module has a corresponding set of counters that increments if there is a match and/or approximate match for that specific file. The result of the counters are then temporarily stored in a First in First out Buffer. Subsequently, it transmits the data back in the buffer to the software. The software then writes the output file for that session. 4. Verification Test cases were introduced to compare the performance of the hardware developed with that of software implementation run on a computer with a 2.2 GHz Intel Pentium dual-core processor and a 2 GB RAM. The test cases for the system comprise seven queries of lengths 0, 20, 30, 40, 50, 60 and 70 characters. Multiple simulations were performed by replicating the database size from (x = 43 MB) to 2x, 3x, 4x, 5x, 6x, 7x, 8x, 9x, and 0x for each set of query sequences. Shown below are the sequences used for verification. Fig. 7: DNA Virus Probe microarray sequence for Human Herpesvirus (Probe ID ). 209

5 Fig. 8: Summary of the runtime results of software and hardware implementations. 5. Performance Evaluation Fig. 9: Speedup of hardware over software implementation. It can be observed from the figure above that the speedup increases as the size of the database and query length increases. The peak CPU usage levels are also compared. The CPU usage of the software implementation reached 58% versus the 38% peak usage of the PC + FPGA setup. 6. Conclusion In conclusion, an 8-core FPGA-based Agrep was implemented and deployed in the Philippine e-science Grid, with the task of offloading DNA microarray sequence searching operations from the cluster. Consequently, using this implementation, up to 20 times boost in performance was achieved. 7. References [] Ahmad, Cluster Computing: A Glance at Recent Events, IEEE Technical Committee on Scalable Computing, [2] S. Wu and U. Manber, Fast Text Searching With Errors, Technical Report TR 9-, Department of Computer Science, University of Arizona, Tucson, AZ8572, June 99. [3] Opal Kelly, FrontPanel User Manual, [4] E. Sotiriades, C. Kozanitis, and A. Dollas, FPGA based Architecture for DNA Sequence Comparison and Database Search, Microprocessor and Hardware Laboratory, Technical University of Crete, Chania 7300 Greece, [5] N. Pappas, Searching Biological Sequence Databases Using Distributed Adaptive Computing, Department of Electrical and Computer Engineering, Virginia Polytechnic Institute and State University, Blacksburg Virginia, January

A GPU Algorithm for Comparing Nucleotide Histograms

A GPU Algorithm for Comparing Nucleotide Histograms A GPU Algorithm for Comparing Nucleotide Histograms Adrienne Breland Harpreet Singh Omid Tutakhil Mike Needham Dickson Luong Grant Hennig Roger Hoang Torborn Loken Sergiu M. Dascalu Frederick C. Harris,

More information

Hardware Acceleration in Computer Networks. Jan Kořenek Conference IT4Innovations, Ostrava

Hardware Acceleration in Computer Networks. Jan Kořenek Conference IT4Innovations, Ostrava Hardware Acceleration in Computer Networks Outline Motivation for hardware acceleration Longest prefix matching using FPGA Hardware acceleration of time critical operations Framework and applications Contracted

More information

Hardware Accelerator for Biological Sequence Alignment using Coreworks Processing Engine

Hardware Accelerator for Biological Sequence Alignment using Coreworks Processing Engine Hardware Accelerator for Biological Sequence Alignment using Coreworks Processing Engine José Cabrita, Gilberto Rodrigues, Paulo Flores INESC-ID / IST, Technical University of Lisbon jpmcabrita@gmail.com,

More information

A BANDED SMITH-WATERMAN FPGA ACCELERATOR FOR MERCURY BLASTP

A BANDED SMITH-WATERMAN FPGA ACCELERATOR FOR MERCURY BLASTP A BANDED SITH-WATERAN FPGA ACCELERATOR FOR ERCURY BLASTP Brandon Harris*, Arpith C. Jacob*, Joseph. Lancaster*, Jeremy Buhler*, Roger D. Chamberlain* *Dept. of Computer Science and Engineering, Washington

More information

Multiple Sequence Alignment Using Reconfigurable Computing

Multiple Sequence Alignment Using Reconfigurable Computing Multiple Sequence Alignment Using Reconfigurable Computing Carlos R. Erig Lima, Heitor S. Lopes, Maiko R. Moroz, and Ramon M. Menezes Bioinformatics Laboratory, Federal University of Technology Paraná

More information

Developing a Data Driven System for Computational Neuroscience

Developing a Data Driven System for Computational Neuroscience Developing a Data Driven System for Computational Neuroscience Ross Snider and Yongming Zhu Montana State University, Bozeman MT 59717, USA Abstract. A data driven system implies the need to integrate

More information

Universal Serial Bus Host Interface on an FPGA

Universal Serial Bus Host Interface on an FPGA Universal Serial Bus Host Interface on an FPGA Application Note For many years, designers have yearned for a general-purpose, high-performance serial communication protocol. The RS-232 and its derivatives

More information

Big Data Meets High-Performance Reconfigurable Computing

Big Data Meets High-Performance Reconfigurable Computing Big Data Meets High-Performance Reconfigurable Computing UF Workshop on Dense, Intense, and Complex Data Alan George CHREC Center Director Herman Lam CHREC Center Associate Director June 19, 2013 What

More information

Parallel graph traversal for FPGA

Parallel graph traversal for FPGA LETTER IEICE Electronics Express, Vol.11, No.7, 1 6 Parallel graph traversal for FPGA Shice Ni a), Yong Dou, Dan Zou, Rongchun Li, and Qiang Wang National Laboratory for Parallel and Distributed Processing,

More information

Modeling Arbitrator Delay-Area Dependencies in Customizable Instruction Set Processors

Modeling Arbitrator Delay-Area Dependencies in Customizable Instruction Set Processors Modeling Arbitrator Delay-Area Dependencies in Customizable Instruction Set Processors Siew-Kei Lam Centre for High Performance Embedded Systems, Nanyang Technological University, Singapore (assklam@ntu.edu.sg)

More information

OPEN MP-BASED PARALLEL AND SCALABLE GENETIC SEQUENCE ALIGNMENT

OPEN MP-BASED PARALLEL AND SCALABLE GENETIC SEQUENCE ALIGNMENT OPEN MP-BASED PARALLEL AND SCALABLE GENETIC SEQUENCE ALIGNMENT Asif Ali Khan*, Laiq Hassan*, Salim Ullah* ABSTRACT: In bioinformatics, sequence alignment is a common and insistent task. Biologists align

More information

A CAM(Content Addressable Memory)-based architecture for molecular sequence matching

A CAM(Content Addressable Memory)-based architecture for molecular sequence matching A CAM(Content Addressable Memory)-based architecture for molecular sequence matching P.K. Lala 1 and J.P. Parkerson 2 1 Department Electrical Engineering, Texas A&M University, Texarkana, Texas, USA 2

More information

SEASHORE / SARUMAN. Short Read Matching using GPU Programming. Tobias Jakobi

SEASHORE / SARUMAN. Short Read Matching using GPU Programming. Tobias Jakobi SEASHORE SARUMAN Summary 1 / 24 SEASHORE / SARUMAN Short Read Matching using GPU Programming Tobias Jakobi Center for Biotechnology (CeBiTec) Bioinformatics Resource Facility (BRF) Bielefeld University

More information

Grid Computing for Bioinformatics: An Implementation of a User-Friendly Web Portal for ASTI's In Silico Laboratory

Grid Computing for Bioinformatics: An Implementation of a User-Friendly Web Portal for ASTI's In Silico Laboratory Grid Computing for Bioinformatics: An Implementation of a User-Friendly Web Portal for ASTI's In Silico Laboratory R. Babilonia, M. Rey, E. Aldea, U. Sarte gridapps@asti.dost.gov.ph Outline! Introduction:

More information

A Scalable Coprocessor for Bioinformatic Sequence Alignments

A Scalable Coprocessor for Bioinformatic Sequence Alignments A Scalable Coprocessor for Bioinformatic Sequence Alignments Scott F. Smith Department of Electrical and Computer Engineering Boise State University Boise, ID, U.S.A. Abstract A hardware coprocessor for

More information

WITH the advent of the Next-Generation Sequencing

WITH the advent of the Next-Generation Sequencing 1262 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 20, NO. 7, JULY 2012 Integrated Hardware Architecture for Efficient Computation of the n-best Bio-Sequence Local Alignments in

More information

2016 inn In ovatint SYSTEM novatint version 3 REQUIREMENTS System Requirements D ate :

2016 inn In ovatint SYSTEM novatint version 3 REQUIREMENTS System Requirements D ate : 2016 Innovatint innovatint version SYSTEM 3 System REQUIREMENTS Requirements Date: 28-11-2016 Table of contents 1. Innovatint P.O.S 2 1.1 Minimal system requirements 2 1.2 Recommended system requirements

More information

Simplify System Complexity

Simplify System Complexity 1 2 Simplify System Complexity With the new high-performance CompactRIO controller Arun Veeramani Senior Program Manager National Instruments NI CompactRIO The Worlds Only Software Designed Controller

More information

A microcomputer system for mass spectrometer control and data acquisition

A microcomputer system for mass spectrometer control and data acquisition Proc. Indian Acad. Sci. (Chem. Sci.), Vol. 93, No. 2, March 1984, plx 189-193. 9 Printed in India. A microcomputer system for mass spectrometer control and data acquisition P G OZA, H S MAZUMDAR, A K CHOUDHARY

More information

Near Memory Key/Value Lookup Acceleration MemSys 2017

Near Memory Key/Value Lookup Acceleration MemSys 2017 Near Key/Value Lookup Acceleration MemSys 2017 October 3, 2017 Scott Lloyd, Maya Gokhale Center for Applied Scientific Computing This work was performed under the auspices of the U.S. Department of Energy

More information

Metropolitan Road Traffic Simulation on FPGAs

Metropolitan Road Traffic Simulation on FPGAs Metropolitan Road Traffic Simulation on FPGAs Justin L. Tripp, Henning S. Mortveit, Anders Å. Hansson, Maya Gokhale Los Alamos National Laboratory Los Alamos, NM 85745 Overview Background Goals Using the

More information

Design and Evaluation of a BLAST Ungapped Extension Accelerator, Master's Thesis

Design and Evaluation of a BLAST Ungapped Extension Accelerator, Master's Thesis Washington University in St. Louis Washington University Open Scholarship All Computer Science and Engineering Research Computer Science and Engineering Report Number: WUCSE-2006-21 2006-01-01 Design and

More information

On the Comparative Performance of Parallel Algorithms on Small GPU/CUDA Clusters

On the Comparative Performance of Parallel Algorithms on Small GPU/CUDA Clusters 1 On the Comparative Performance of Parallel Algorithms on Small GPU/CUDA Clusters N. P. Karunadasa & D. N. Ranasinghe University of Colombo School of Computing, Sri Lanka nishantha@opensource.lk, dnr@ucsc.cmb.ac.lk

More information

Hash-Based String Matching Algorithm For Network Intrusion Prevention systems (NIPS)

Hash-Based String Matching Algorithm For Network Intrusion Prevention systems (NIPS) Hash-Based String Matching Algorithm For Network Intrusion Prevention systems (NIPS) VINOD. O & B. M. SAGAR ISE Department, R.V.College of Engineering, Bangalore-560059, INDIA Email Id :vinod.goutham@gmail.com,sagar.bm@gmail.com

More information

EVOLUTION OF RECONFIGURABLE BASED ALGORITHMS FOR BIOINFORMATICS APPLICATIONS: AN INVESTIGATION

EVOLUTION OF RECONFIGURABLE BASED ALGORITHMS FOR BIOINFORMATICS APPLICATIONS: AN INVESTIGATION Int. J. LifeSc. Bt & Pharm. Res. 2013 A Surendar et al., 2013 Review Article ISSN 2250-3137 www.ijlbpr.com Vol. 2, No. 4, October 2013 2013 IJLBPR. All Rights Reserved EVOLUTION OF RECONFIGURABLE BASED

More information

Single Pass, BLAST-like, Approximate String Matching on FPGAs*

Single Pass, BLAST-like, Approximate String Matching on FPGAs* Single Pass, BLAST-like, Approximate String Matching on FPGAs* Martin Herbordt Josh Model Yongfeng Gu Bharat Sukhwani Tom VanCourt Computer Architecture and Automated Design Laboratory Department of Electrical

More information

Matrox Imaging White Paper

Matrox Imaging White Paper Reliable high bandwidth video capture with Matrox Radient Abstract The constant drive for greater analysis resolution and higher system throughput results in the design of vision systems with multiple

More information

Mapping Vector Codes to a Stream Processor (Imagine)

Mapping Vector Codes to a Stream Processor (Imagine) Mapping Vector Codes to a Stream Processor (Imagine) Mehdi Baradaran Tahoori and Paul Wang Lee {mtahoori,paulwlee}@stanford.edu Abstract: We examined some basic problems in mapping vector codes to stream

More information

Data and Network Communications Protocol Motherboard Using Reconfigurable Hardware

Data and Network Communications Protocol Motherboard Using Reconfigurable Hardware Data and Network Communications Protocol Motherboard Using Reconfigurable Hardware ROSULA REYES 1,2, Ph.D., CARLOS OPPUS 1,2, JOSE CLARO MONJE 1,2 NOEL PATRON 1,2, RAPHAEL GONZALES 2, MARK GLENN RETIRADO

More information

Performance Characterization of the Dell Flexible Computing On-Demand Desktop Streaming Solution

Performance Characterization of the Dell Flexible Computing On-Demand Desktop Streaming Solution Performance Characterization of the Dell Flexible Computing On-Demand Desktop Streaming Solution Product Group Dell White Paper February 28 Contents Contents Introduction... 3 Solution Components... 4

More information

Single-Points of Performance

Single-Points of Performance Single-Points of Performance Mellanox Technologies Inc. 29 Stender Way, Santa Clara, CA 9554 Tel: 48-97-34 Fax: 48-97-343 http://www.mellanox.com High-performance computations are rapidly becoming a critical

More information

MULTIPLEXER / DEMULTIPLEXER IMPLEMENTATION USING A CCSDS FORMAT

MULTIPLEXER / DEMULTIPLEXER IMPLEMENTATION USING A CCSDS FORMAT MULTIPLEXER / DEMULTIPLEXER IMPLEMENTATION USING A CCSDS FORMAT Item Type text; Proceedings Authors Grebe, David L. Publisher International Foundation for Telemetering Journal International Telemetering

More information

Design of a virtual logic analyzer based on FPGA

Design of a virtual logic analyzer based on FPGA 2016 Sixth International Conference on Instrumentation & Measurement, Computer, Communication and Control Design of a virtual logic analyzer based on FPGA LIU Weiping Dept. of CIEE Jilin University Changchun,

More information

Embedded Real-Time Video Processing System on FPGA

Embedded Real-Time Video Processing System on FPGA Embedded Real-Time Video Processing System on FPGA Yahia Said 1, Taoufik Saidani 1, Fethi Smach 2, Mohamed Atri 1, and Hichem Snoussi 3 1 Laboratory of Electronics and Microelectronics (EμE), Faculty of

More information

A Performance Evaluation of the Preprocessing Phase of Multiple Keyword Matching Algorithms

A Performance Evaluation of the Preprocessing Phase of Multiple Keyword Matching Algorithms A Performance Evaluation of the Preprocessing Phase of Multiple Keyword Matching Algorithms Charalampos S. Kouzinopoulos and Konstantinos G. Margaritis Parallel and Distributed Processing Laboratory Department

More information

Real-Time Testing in a Modern, Agile Development Workflow

Real-Time Testing in a Modern, Agile Development Workflow Real-Time Testing in a Modern, Agile Development Workflow Simon Eriksson Application Engineer 2015 The MathWorks, Inc. 1 Demo Going from Desktop Testing to Real-Time Testing 2 Key Take-Aways From This

More information

AN OVERVIEW OF MICRON S

AN OVERVIEW OF MICRON S AN OVERVIEW OF MICRON S 1 Ke Wang, 1 Kevin Angstadt, 1 Chunkun Bo, 1 Nathan Brunelle, 1 Elaheh Sadredini, 2 Tommy Tracy II, 1 Jack Wadden, 2 Mircea Stan, 1 Kevin Skadron Center for Automata Computing 1

More information

CHAPTER 1 INTRODUCTION

CHAPTER 1 INTRODUCTION 1 CHAPTER 1 INTRODUCTION 1.1 Advance Encryption Standard (AES) Rijndael algorithm is symmetric block cipher that can process data blocks of 128 bits, using cipher keys with lengths of 128, 192, and 256

More information

Big Data Analytics Performance for Large Out-Of- Core Matrix Solvers on Advanced Hybrid Architectures

Big Data Analytics Performance for Large Out-Of- Core Matrix Solvers on Advanced Hybrid Architectures Procedia Computer Science Volume 51, 2015, Pages 2774 2778 ICCS 2015 International Conference On Computational Science Big Data Analytics Performance for Large Out-Of- Core Matrix Solvers on Advanced Hybrid

More information

ReCPU: a Parallel and Pipelined Architecture for Regular Expression Matching

ReCPU: a Parallel and Pipelined Architecture for Regular Expression Matching ReCPU: a Parallel and Pipelined Architecture for Regular Expression Matching Marco Paolieri, Ivano Bonesana ALaRI, Faculty of Informatics University of Lugano, Lugano, Switzerland {paolierm, bonesani}@alari.ch

More information

Local Difference Binary for Ultrafast and Distinctive Feature Description

Local Difference Binary for Ultrafast and Distinctive Feature Description Local Difference Binary for Ultrafast and Distinctive Feature Description Xin Yang, K.-T. Tim Cheng IEEE Trans. on Pattern Analysis and Machine Intelligence, 2014, January *Source code has been released

More information

EtherCAT Data Acquisition System Based on DMA Mode

EtherCAT Data Acquisition System Based on DMA Mode 2012 2nd International Conference on Industrial Technology and Management (ICITM 2012) IPCSIT vol. 49 (2012) (2012) IACSIT Press, Singapore DOI: 10.7763/IPCSIT.2012.V49.5 0 EtherCAT Data Acquisition System

More information

Efficient Hardware Acceleration on SoC- FPGA using OpenCL

Efficient Hardware Acceleration on SoC- FPGA using OpenCL Efficient Hardware Acceleration on SoC- FPGA using OpenCL Advisor : Dr. Benjamin Carrion Schafer Susmitha Gogineni 30 th August 17 Presentation Overview 1.Objective & Motivation 2.Configurable SoC -FPGA

More information

Spark Over RDMA: Accelerate Big Data SC Asia 2018 Ido Shamay Mellanox Technologies

Spark Over RDMA: Accelerate Big Data SC Asia 2018 Ido Shamay Mellanox Technologies Spark Over RDMA: Accelerate Big Data SC Asia 2018 Ido Shamay 1 Apache Spark - Intro Spark within the Big Data ecosystem Data Sources Data Acquisition / ETL Data Storage Data Analysis / ML Serving 3 Apache

More information

High Speed Systolic Montgomery Modular Multipliers for RSA Cryptosystems

High Speed Systolic Montgomery Modular Multipliers for RSA Cryptosystems High Speed Systolic Montgomery Modular Multipliers for RSA Cryptosystems RAVI KUMAR SATZODA, CHIP-HONG CHANG and CHING-CHUEN JONG Centre for High Performance Embedded Systems Nanyang Technological University

More information

Harnessing Associative Computing for Sequence Alignment with Parallel Accelerators

Harnessing Associative Computing for Sequence Alignment with Parallel Accelerators Harnessing Associative Computing for Sequence Alignment with Parallel Accelerators Shannon I. Steinfadt Doctoral Research Showcase III Room 17 A / B 4:00-4:15 International Conference for High Performance

More information

Whitepaper: FPGA-Controlled Test (FCT): What it is and why is it needed?

Whitepaper: FPGA-Controlled Test (FCT): What it is and why is it needed? Whitepaper: FPGA-Controlled Test (FCT): What it is and why is it needed? By Al Crouch Chief Technologist, Core Instrumentation ASSET InterTech ASSET InterTech, Inc. 2201 N. Central Expressway, Suite 105

More information

Simplify System Complexity

Simplify System Complexity Simplify System Complexity With the new high-performance CompactRIO controller Fanie Coetzer Field Sales Engineer Northern South Africa 2 3 New control system CompactPCI MMI/Sequencing/Logging FieldPoint

More information

COSC 6385 Computer Architecture - Data Level Parallelism (III) The Intel Larrabee, Intel Xeon Phi and IBM Cell processors

COSC 6385 Computer Architecture - Data Level Parallelism (III) The Intel Larrabee, Intel Xeon Phi and IBM Cell processors COSC 6385 Computer Architecture - Data Level Parallelism (III) The Intel Larrabee, Intel Xeon Phi and IBM Cell processors Edgar Gabriel Fall 2018 References Intel Larrabee: [1] L. Seiler, D. Carmean, E.

More information

Single Pass Connected Components Analysis

Single Pass Connected Components Analysis D. G. Bailey, C. T. Johnston, Single Pass Connected Components Analysis, Proceedings of Image and Vision Computing New Zealand 007, pp. 8 87, Hamilton, New Zealand, December 007. Single Pass Connected

More information

XIV International PhD Workshop OWD 2012, October Optimal structure of face detection algorithm using GPU architecture

XIV International PhD Workshop OWD 2012, October Optimal structure of face detection algorithm using GPU architecture XIV International PhD Workshop OWD 2012, 20 23 October 2012 Optimal structure of face detection algorithm using GPU architecture Dmitry Pertsau, Belarusian State University of Informatics and Radioelectronics

More information

A Design of a Hybrid System for DNA Sequence Alignment

A Design of a Hybrid System for DNA Sequence Alignment IMECS 2008, 9-2 March, 2008, Hong Kong A Design of a Hybrid System for DNA Sequence Alignment Heba Khaled, Hossam M. Faheem, Tayseer Hasan, Saeed Ghoneimy Abstract This paper describes a parallel algorithm

More information

Laboratory Finite State Machines and Serial Communication

Laboratory Finite State Machines and Serial Communication Laboratory 11 11. Finite State Machines and Serial Communication 11.1. Objectives Study, design, implement and test Finite State Machines Serial Communication Familiarize the students with Xilinx ISE WebPack

More information

Addressing Verification Bottlenecks of Fully Synthesized Processor Cores using Equivalence Checkers

Addressing Verification Bottlenecks of Fully Synthesized Processor Cores using Equivalence Checkers Addressing Verification Bottlenecks of Fully Synthesized Processor Cores using Equivalence Checkers Subash Chandar G (g-chandar1@ti.com), Vaideeswaran S (vaidee@ti.com) DSP Design, Texas Instruments India

More information

Parallel Exact Inference on the Cell Broadband Engine Processor

Parallel Exact Inference on the Cell Broadband Engine Processor Parallel Exact Inference on the Cell Broadband Engine Processor Yinglong Xia and Viktor K. Prasanna {yinglonx, prasanna}@usc.edu University of Southern California http://ceng.usc.edu/~prasanna/ SC 08 Overview

More information

An Efficient Implementation of LZW Compression in the FPGA

An Efficient Implementation of LZW Compression in the FPGA An Efficient Implementation of LZW Compression in the FPGA Xin Zhou, Yasuaki Ito and Koji Nakano Department of Information Engineering, Hiroshima University Kagamiyama 1-4-1, Higashi-Hiroshima, 739-8527

More information

Microsoft SQL Server 2012 Fast Track Reference Configuration Using PowerEdge R720 and EqualLogic PS6110XV Arrays

Microsoft SQL Server 2012 Fast Track Reference Configuration Using PowerEdge R720 and EqualLogic PS6110XV Arrays Microsoft SQL Server 2012 Fast Track Reference Configuration Using PowerEdge R720 and EqualLogic PS6110XV Arrays This whitepaper describes Dell Microsoft SQL Server Fast Track reference architecture configurations

More information

An Oracle White Paper September, Oracle Real User Experience Insight Server Requirements

An Oracle White Paper September, Oracle Real User Experience Insight Server Requirements An Oracle White Paper September, 2011 Oracle Real User Experience Insight Server Requirements Executive Overview Oracle Enterprise Manager is Oracle s integrated enterprise IT management product line and

More information

IMPLEMENTATION OF A BIT ERROR RATE TESTER OF A WIRELESS COMMUNICATION SYSTEM ON AN FPGA

IMPLEMENTATION OF A BIT ERROR RATE TESTER OF A WIRELESS COMMUNICATION SYSTEM ON AN FPGA IMPLEMENTATION OF A BIT ERROR RATE TESTER OF A WIRELESS COMMUNICATION SYSTEM ON AN FPGA Lakshmy Sukumaran 1, Dharani K G 2 1 Student, Electronics and communication, MVJ College of Engineering, Bangalore-560067

More information

ParaMEDIC: Parallel Metadata Environment for Distributed I/O and Computing

ParaMEDIC: Parallel Metadata Environment for Distributed I/O and Computing ParaMEDIC: Parallel Metadata Environment for Distributed I/O and Computing Prof. Wu FENG Department of Computer Science Virginia Tech Work smarter not harder Overview Grand Challenge A large-scale biological

More information

Summer 2003 Lecture 1 06/09/03

Summer 2003 Lecture 1 06/09/03 Summer 2003 Lecture 1 06/09/03 General Overview of Class: This class is an introduction to the low level operation of microprocessor-based computer systems. We will cover the operation of the Central Processor

More information

Extreme Networks Session Director

Extreme Networks Session Director Data Sheet Highlights Designed for 4G/LTE, 5G Mobile Network Operators, and IoT scale Maximizes utilization of existing monitoring solutions with subscriberaware network traffic load balancing, filtering,

More information

A Reverse Differential Archiving Method based on Zdelta

A Reverse Differential Archiving Method based on Zdelta 2012 International Conference on Image, Vision and Computing (ICIVC 2012) IPCSIT vol. 50 (2012) (2012) IACSIT Press, Singapore DOI: 10.7763/IPCSIT.2012.V50.19 A Reverse Differential Archiving Method based

More information

Supra-linear Packet Processing Performance with Intel Multi-core Processors

Supra-linear Packet Processing Performance with Intel Multi-core Processors White Paper Dual-Core Intel Xeon Processor LV 2.0 GHz Communications and Networking Applications Supra-linear Packet Processing Performance with Intel Multi-core Processors 1 Executive Summary Advances

More information

Full-Text Search on Data with Access Control

Full-Text Search on Data with Access Control Full-Text Search on Data with Access Control Ahmad Zaky School of Electrical Engineering and Informatics Institut Teknologi Bandung Bandung, Indonesia 13512076@std.stei.itb.ac.id Rinaldi Munir, S.T., M.T.

More information

Double Rewards of Porting Scientific Applications to the Intel MIC Architecture

Double Rewards of Porting Scientific Applications to the Intel MIC Architecture Double Rewards of Porting Scientific Applications to the Intel MIC Architecture Troy A. Porter Hansen Experimental Physics Laboratory and Kavli Institute for Particle Astrophysics and Cosmology Stanford

More information

Motion Control Computing Architectures for Ultra Precision Machines

Motion Control Computing Architectures for Ultra Precision Machines Motion Control Computing Architectures for Ultra Precision Machines Mile Erlic Precision MicroDynamics, Inc., #3-512 Frances Avenue, Victoria, B.C., Canada, V8Z 1A1 INTRODUCTION Several computing architectures

More information

Release Note. Agilent Genomic Workbench Standard Edition

Release Note. Agilent Genomic Workbench Standard Edition Release te Agilent Genomic Workbench Standard Edition 5.0.14 A. New for the Agilent Genomic Workbench DNA Analytics becomes a component of Agilent Genomic Workbench DNA Analytics becomes a component of

More information

Bitwise Data Parallelism in Regular Expression Matching

Bitwise Data Parallelism in Regular Expression Matching Bitwise Data Parallelism in Regular Expression Matching Rob Cameron, Tom Shermer, Arrvindh Shriraman, Ken Herdy, Dan Lin, Ben Hull, Meng Lin School of Computing Science Simon Fraser University August 25,

More information

Microsoft SQL Server 2012 Fast Track Reference Architecture Using PowerEdge R720 and Compellent SC8000

Microsoft SQL Server 2012 Fast Track Reference Architecture Using PowerEdge R720 and Compellent SC8000 Microsoft SQL Server 2012 Fast Track Reference Architecture Using PowerEdge R720 and Compellent SC8000 This whitepaper describes the Dell Microsoft SQL Server Fast Track reference architecture configuration

More information

CrocoBLAST: Running BLAST Efficiently in the Age of Next-Generation Sequencing

CrocoBLAST: Running BLAST Efficiently in the Age of Next-Generation Sequencing CrocoBLAST: Running BLAST Efficiently in the Age of Next-Generation Sequencing Ravi José Tristão Ramos, Allan Cézar de Azevedo Martins, Gabriele da Silva Delgado, Crina- Maria Ionescu, Turán Peter Ürményi,

More information

Using FPGAs as Microservices

Using FPGAs as Microservices Using FPGAs as Microservices David Ojika, Ann Gordon-Ross, Herman Lam, Bhavesh Patel, Gaurav Kaul, Jayson Strayer (University of Florida, DELL EMC, Intel Corporation) The 9 th Workshop on Big Data Benchmarks,

More information

On the Efficacy of Haskell for High Performance Computational Biology

On the Efficacy of Haskell for High Performance Computational Biology On the Efficacy of Haskell for High Performance Computational Biology Jacqueline Addesa Academic Advisors: Jeremy Archuleta, Wu chun Feng 1. Problem and Motivation Biologists can leverage the power of

More information

SONAS Best Practices and options for CIFS Scalability

SONAS Best Practices and options for CIFS Scalability COMMON INTERNET FILE SYSTEM (CIFS) FILE SERVING...2 MAXIMUM NUMBER OF ACTIVE CONCURRENT CIFS CONNECTIONS...2 SONAS SYSTEM CONFIGURATION...4 SONAS Best Practices and options for CIFS Scalability A guide

More information

Configurable and scalable class of high performance hardware accelerators for simultaneous DNA sequence alignment

Configurable and scalable class of high performance hardware accelerators for simultaneous DNA sequence alignment CONCURRENCY AND COMPUTATION: PRACTICE AND EXPERIENCE Concurrency Computat.: Pract. Exper. 2013; 25:1319 1339 Published online 12 October 2012 in Wiley Online Library (wileyonlinelibrary.com)..2934 SPECIAL

More information

EnGenius Mesh Dot EMD1

EnGenius Mesh Dot EMD1 Datasheet EnGenius Mesh Dot EMD1 EnGenius Mesh Dot EMD1 is a 802.11ac Wave 2 Dual-Band Access Point that installs in an instant, adding Wi-Fi, strengthening range, and increasing speed in homes or small

More information

Shortest path to the lab. Real-world verification. Probes provide observability

Shortest path to the lab. Real-world verification. Probes provide observability OVM/UVM for FPGAs: The End of Burn and Churn FPGA Verification by In-Circuit Test Burn and churn based on at-speed test with real input 2 Shortest path to the lab Nominal simulation of RTL blocks Relatively

More information

AAlign: A SIMD Framework for Pairwise Sequence Alignment on x86-based Multi- and Many-core Processors

AAlign: A SIMD Framework for Pairwise Sequence Alignment on x86-based Multi- and Many-core Processors AAlign: A SIMD Framework for Pairwise Sequence Alignment on x86-based Multi- and Many-core Processors Kaixi Hou, Hao Wang, Wu-chun Feng {kaixihou,hwang121,wfeng}@vt.edu Pairwise Sequence Alignment Algorithms

More information

12. Use of Test Generation Algorithms and Emulation

12. Use of Test Generation Algorithms and Emulation 12. Use of Test Generation Algorithms and Emulation 1 12. Use of Test Generation Algorithms and Emulation Jacob Abraham Department of Electrical and Computer Engineering The University of Texas at Austin

More information

Scalable Accelerator Architecture for Local Alignment of DNA Sequences

Scalable Accelerator Architecture for Local Alignment of DNA Sequences Scalable Accelerator Architecture for Local Alignment of DNA Sequences Nuno Sebastião, Nuno Roma, Paulo Flores INESC-ID / IST-TU Lisbon Rua Alves Redol, 9, Lisboa PORTUGAL {Nuno.Sebastiao, Nuno.Roma, Paulo.Flores}

More information

FPGA-Based Embedded Systems for Testing and Rapid Prototyping

FPGA-Based Embedded Systems for Testing and Rapid Prototyping FPGA-Based Embedded Systems for Testing and Rapid Prototyping Martin Panevsky Embedded System Applications Manager Embedded Control Systems Department The Aerospace Corporation Flight Software Workshop

More information

EMC Business Continuity for Microsoft Applications

EMC Business Continuity for Microsoft Applications EMC Business Continuity for Microsoft Applications Enabled by EMC Celerra, EMC MirrorView/A, EMC Celerra Replicator, VMware Site Recovery Manager, and VMware vsphere 4 Copyright 2009 EMC Corporation. All

More information

EMBEDDED SOPC DESIGN WITH NIOS II PROCESSOR AND VHDL EXAMPLES

EMBEDDED SOPC DESIGN WITH NIOS II PROCESSOR AND VHDL EXAMPLES EMBEDDED SOPC DESIGN WITH NIOS II PROCESSOR AND VHDL EXAMPLES Pong P. Chu Cleveland State University A JOHN WILEY & SONS, INC., PUBLICATION PREFACE An SoC (system on a chip) integrates a processor, memory

More information

International Journal of Engineering and Scientific Research

International Journal of Engineering and Scientific Research Research Article ISSN: XXXX XXXX International Journal of Engineering and Scientific Research Journal home page: www.ijesr.info AN EFFICIENT RETOUCHED BLOOM FILTER BASED WORD-MATCHING STAGE OF BLASTN R.

More information

Graduate Institute of Electronics Engineering, NTU FPGA Design with Xilinx ISE

Graduate Institute of Electronics Engineering, NTU FPGA Design with Xilinx ISE FPGA Design with Xilinx ISE Presenter: Shu-yen Lin Advisor: Prof. An-Yeu Wu 2005/6/6 ACCESS IC LAB Outline Concepts of Xilinx FPGA Xilinx FPGA Architecture Introduction to ISE Code Generator Constraints

More information

Terminal Applications Scalability testing using Rational Performance Tester version 8.1

Terminal Applications Scalability testing using Rational Performance Tester version 8.1 Terminal Applications Scalability testing using Rational Performance Tester version 8.1 A practical guide on 5250 Green Screen applications Version: 1.0 Date: 12/05/2009 Author: Benoit Marolleau Product

More information

Parallelization and Optimization of Multiple Biological Sequence Alignment Software Based on Social Behavior Model

Parallelization and Optimization of Multiple Biological Sequence Alignment Software Based on Social Behavior Model Parallelization and Optimization of Multiple Biological Sequence Alignment Software Based on Social Behavior Model PLAMENKA BOROVSKA Department of Informatics Technical University of Sofia 8 Kliment Ohridski

More information

IBM System p5 550 and 550Q Express servers

IBM System p5 550 and 550Q Express servers The right solutions for consolidating multiple applications on a single system IBM System p5 550 and 550Q Express servers Highlights Up to 8-core scalability using Quad-Core Module technology Point, click

More information

Introduction to TCP/IP Offload Engine (TOE)

Introduction to TCP/IP Offload Engine (TOE) Introduction to TCP/IP Offload Engine (TOE) Version 1.0, April 2002 Authored By: Eric Yeh, Hewlett Packard Herman Chao, QLogic Corp. Venu Mannem, Adaptec, Inc. Joe Gervais, Alacritech Bradley Booth, Intel

More information

Flexible Architecture Research Machine (FARM)

Flexible Architecture Research Machine (FARM) Flexible Architecture Research Machine (FARM) RAMP Retreat June 25, 2009 Jared Casper, Tayo Oguntebi, Sungpack Hong, Nathan Bronson Christos Kozyrakis, Kunle Olukotun Motivation Why CPUs + FPGAs make sense

More information

JULIA ENABLED COMPUTATION OF MOLECULAR LIBRARY COMPLEXITY IN DNA SEQUENCING

JULIA ENABLED COMPUTATION OF MOLECULAR LIBRARY COMPLEXITY IN DNA SEQUENCING JULIA ENABLED COMPUTATION OF MOLECULAR LIBRARY COMPLEXITY IN DNA SEQUENCING Larson Hogstrom, Mukarram Tahir, Andres Hasfura Massachusetts Institute of Technology, Cambridge, Massachusetts, USA 18.337/6.338

More information

SMCCSE: PaaS Platform for processing large amounts of social media

SMCCSE: PaaS Platform for processing large amounts of social media KSII The first International Conference on Internet (ICONI) 2011, December 2011 1 Copyright c 2011 KSII SMCCSE: PaaS Platform for processing large amounts of social media Myoungjin Kim 1, Hanku Lee 2 and

More information

GeoImaging Accelerator Pansharpen Test Results. Executive Summary

GeoImaging Accelerator Pansharpen Test Results. Executive Summary Executive Summary After demonstrating the exceptional performance improvement in the orthorectification module (approximately fourteen-fold see GXL Ortho Performance Whitepaper), the same approach has

More information

A Device-Controlled Dynamic Configuration Framework Supporting Heterogeneous Resource Management

A Device-Controlled Dynamic Configuration Framework Supporting Heterogeneous Resource Management A Device-Controlled Dynamic Configuration Framework Supporting Heterogeneous Resource Management H. Tan and R. F. DeMara Department of Electrical and Computer Engineering University of Central Florida

More information

RiceNIC. Prototyping Network Interfaces. Jeffrey Shafer Scott Rixner

RiceNIC. Prototyping Network Interfaces. Jeffrey Shafer Scott Rixner RiceNIC Prototyping Network Interfaces Jeffrey Shafer Scott Rixner RiceNIC Overview Gigabit Ethernet Network Interface Card RiceNIC - Prototyping Network Interfaces 2 RiceNIC Overview Reconfigurable and

More information

Parallel Processing for Scanning Genomic Data-Bases

Parallel Processing for Scanning Genomic Data-Bases 1 Parallel Processing for Scanning Genomic Data-Bases D. Lavenier and J.-L. Pacherie a {lavenier,pacherie}@irisa.fr a IRISA, Campus de Beaulieu, 35042 Rennes cedex, France The scan of a genomic data-base

More information

Best Practices for Setting BIOS Parameters for Performance

Best Practices for Setting BIOS Parameters for Performance White Paper Best Practices for Setting BIOS Parameters for Performance Cisco UCS E5-based M3 Servers May 2013 2014 Cisco and/or its affiliates. All rights reserved. This document is Cisco Public. Page

More information

NOISE ELIMINATION USING A BIT CAMS

NOISE ELIMINATION USING A BIT CAMS International Journal of VLSI Design, 2(2), 2011, pp. 97-101 NOISE ELIMINATION USING A BIT CAMS Sundar Srinivas Kuchibhotla 1 & Naga Lakshmi Kalyani Movva 2 1 Department of Electronics & Communication

More information

Analysis of Extended Performance for clustering of Satellite Images Using Bigdata Platform Spark

Analysis of Extended Performance for clustering of Satellite Images Using Bigdata Platform Spark Analysis of Extended Performance for clustering of Satellite Images Using Bigdata Platform Spark PL.Marichamy 1, M.Phil Research Scholar, Department of Computer Application, Alagappa University, Karaikudi,

More information

Embedded SDR for Small Form Factor Systems

Embedded SDR for Small Form Factor Systems Embedded SDR for Small Form Factor Systems Philip Balister, Tom Tsou, and Jeff Reed MPRG Wireless @ Virginia Tech Blacksburg, VA 24060 balister@vt.edu Outline Embedded Software Defined Radio SDR Frameworks

More information