DEV Office 客户端开发增强

Size: px
Start display at page:

Download "DEV Office 客户端开发增强"

Transcription

1 DEV Office 客户端开发增强

2 课程内容概述 在 Office 2007 客户端众多新特性中, 和使用者关系最为密切的应该是在 UI 方面的增强 例如, Office 2007 摒弃了传统以计算机命令作为功能组织单位的菜单, 而替换为以用户命令为组织单位的 Ribbon,, 这使得用户可以根据当前正在操作的文档内容, 快速定位到想要执行的操作 对于每一个信息工作者而言,UI, 增强将很大程度到提高我们编写文档的质量和效率, 而对于每个开发人员而言, 对于 Office Client 用户界面可扩展性的增强和包括 Open XML 这种开发存储格式的提供使得对于 Office Client 端的扩展和开发变得随心所欲

3 课程内容安排 新一代的 2007 Office 客户端增强随心所欲操控新的 Office Open XML 格式文档扩展和定制 2007 Office 客户端, 包括 VSTO 3.0, 扩展 Ribbon,, 创建自定义任务面板等 Microsoft 2007 Office System 开发展望

4 微软 Office 一路走来

5 现在的用户界面遇到了什么瓶颈? Menus and toolbars were designed for less full-featured programs Menu Items The feature set of Office has grown and stretched existing UI mechanisms to the limit Word 1.0 Word 2.0 Word 95 Word 2000 Word 2003 It s harder to find functionality than it was a decade ago There must be a way to do this I don t even know where to start looking Toolbars Task Panes Word 1.0 Word 2.0 Word 95 Word 2000 Word 2003

6 直观印象 : 下一代的 2007 Office 到底是什么样子的?

7 Ribbons 和 Groups Giving users one central place for functionality Ribbon Replacement for menus and toolbars Associated with a tabs and organized around specific scenarios or objects Modeless UI designed for easy browsing Group Each ribbon is organized into several groups Contains related controls: Richer content than menus/toolbars Buttons, galleries, dialog box content

8 全新用户界面大观 The Ribbon Galleries Live Preview Contextual Tools Quick Access Toolbar ( QAT ) Super Tooltips KeyTips and Keyboard Navigation Streamlined Options Context Menus New File Menu

9 新的文件扩展名 Macro-Free Macro-Enabled Document Template Document Template docx dotx docm dotm pptx potx pptm potm xlsx xltx xlsm xltm Open Packaging Convention

10 新的 Office 文档格式 :Open: XML Office 变成了一个基于 XML 的文档格式基于微软 Office 开发 XML 格式标准应用到了 Word, Excel 和 PowerPoint Office 保存为一个包含各个组成部分的压缩文件文档的组成部分保存为清晰的 开放的 XMLO 格式 Document Properties Comments Document Content as WordML Custom-defined XML Images / Sounds / Video Embedded code / macros Charts Word Document (ZIP file) Developers can read and modify Office documents without requiring Office or using the Office object models Developers can read specific parts of a Word or Excel file with a simple XML parser Developers can easily replace or remove parts of a document such as the styles, graphics or comments Valuable for both client-side and server-side side development

11 使用 WinZip 来编辑 Microsoft Word 文档自己编写程序来创建一个 Microsoft Word 文档

12 代码分析 :WinFX: Packaging API Microsoft WinFX Software Development Kit (SDK) System.IO.Packaging namespace add document parts, retrieve and update contents, or create new relationships Important Classes Package, PackagePart, PackageRelationship

13 System.IO.Packaging Windowsbase.dll

14 兼容性 旧有版本创建的 Office 文档 ( 如 Office 2000, Office XP, Office 2003) 可以在 2007 Office 中打开仅仅安装了就有版本 Microsoft Office 的用户只需要安装一个扩展包, 就可以直接打开 编辑 2007 Office 创建的文档 2007 Office 客户端仍然可以把 Office 文档保存成以前的格式

15 Office 开发,VSTO, 才是王道 Visual Studio Tools for the Microsoft Office System v3 (VSTO 3.0) Highly streamlined developer experience Document-level Customizations Microsoft Excel Microsoft Word Microsoft InfoPath Application-level Add-ins

16 VSTO Roadmap VSTO 2005 VSTO Version 3.0 (early thinking) O12-specifics : new features, file format, UI App-level add-ins for most client programs Deeper server side programming Excel User-Defined Funcs (client/server) Workflow & SharePoint support Mobile device support Custom ActionsPane Host Controls on the document surface Cached Data in the document Server-side data processing App-level add-ins for Outlook Manifest-based deployment & update VSTO 2003 Document-level code behind Brings Office into the managed world Strict security model

17 更多的 Office 开发向导

18 Host Controls Bookmark XmlNode NamedRange ListObject ChartObject Bookmark XmlNode Range List Chart Custom Ribbon Extensions Task Core Tasks Panes First class.net object extending Office object model types Inherits members of underlying object Enhanced functionality New events Data binding support Resolution of Event/Method Collisions Tag field & Parameterized Property Fix for C#

19 Windows Forms Controls Use normal Windows controls in Word documents and Excel spreadsheets Embedded in VSTO-defined ActiveX container Custom Ribbon Extensions Core Tasks Task Panes

20 数据绑定 Databinding Builds on ADO.NET Program against data instead of Word and Excel object models Bind multiple controls to same DataSet Two types of binding Simple (Binding a field to the property of a view control) Complex (Binding multiple field values to a control) Data sources include: Web Service Database DataSet Custom Object Custom Ribbon Extensions Core Tasks Task Panes

21 创建一个 VSTO Word 2007 项目, 使用 Host control 和 Windows Control 来进行数据绑定

22 定制 Office 2007 用户界面 Scenarios ISV with ERP solution can create application-wide ribbon extensions that allow users to access their information from within all Office 2007 products that support the new UX Custom Ribbon Extensions Add your own tabs Add your own groups to built-in in tabs Add to the File menu Add to built-in in contextual tabs Repurpose built-in in controls Core Tasks Task Panes

23 Ribbon Components Review Ribbon accessible via a tab A Ribbon contains one or more groups A group contains one or more controls New controls: ToggleButtons, SplitButtons, EditBoxes, DropDowns, ComboBoxes, CheckBoxes, DropDownGrids Custom Ribbon Extensions Core Tasks Task Panes

24 Ribbon XML File Architecture ribbon tabs tab group control group control Custom Ribbon Extensions Core Tasks Task Panes

25 Document-Level Custom UI Steps 1. Create ribbon XML file 2. Open Office 2007 zip package 3. Add ribbon XML file to zip package 4. Add new content type <Override PartName="/customui/customui.xml ContentType="application/xml"/> 5. Add new relationship <Relationship Id="rId* Type= " sibility" Target="customui/customui.xml"/> 6. Package back again as Office 2007 document Custom Ribbon Extensions Core Tasks Task Panes

26 Action Handlers Create procedure (VBA or.net) Sub YourActionHandlerProcedure(ByVal control As Variant) Your Code End Sub Hook it up with the control in the ribbon XML <button id="buttongeneraterefnumber" label="reference Number" size="large" onaction= YourActionHandler"/> Custom Ribbon Extensions Core Tasks Task Panes

27 给一个文档增加一个自定义 Ribbon

28 定制 Office 2007 客户端的界面 Your options Document-level (static) Include custom UI in the file package Make action handlers available in Visual Basic for Applications (VBA) Specific Doc/Template Doc Parts Custom UI Action Handlers Application-level (dynamic) Load custom UI via add-in Make action handlers available in add-in Any Document Custom UI Add-In Action Handlers Custom Ribbon Extensions Core Tasks Task Panes

29 定制任务面板 (Task Panes) Scenarios ISV creating a smart document solution for helping a sales person creating a sales proposal Custom Ribbon Extensions ISV creating a task pane that can be activated by the user in order for him to access the ISV specific information (e.g. from a back-end financial LOB system) Core Tasks Task Panes

30 创建一个文档级别的任务面板

31 Microsoft 2007 Office System开发展望 Collaboration Portals Content management Search Streamlined Business processes intelligence Word processing Presentations Business modeling Business data management Information Management

32

Previous on Computer Networks Class 18. ICMP: Internet Control Message Protocol IP Protocol Actually a IP packet

Previous on Computer Networks Class 18. ICMP: Internet Control Message Protocol IP Protocol Actually a IP packet ICMP: Internet Control Message Protocol IP Protocol Actually a IP packet 前 4 个字节都是一样的 0 8 16 31 类型代码检验和 ( 这 4 个字节取决于 ICMP 报文的类型 ) ICMP 的数据部分 ( 长度取决于类型 ) ICMP 报文 首部 数据部分 IP 数据报 ICMP: Internet Control Message

More information

Logitech G302 Daedalus Prime Setup Guide 设置指南

Logitech G302 Daedalus Prime Setup Guide 设置指南 Logitech G302 Daedalus Prime Setup Guide 设置指南 Logitech G302 Daedalus Prime Contents / 目录 English................. 3 简体中文................. 6 2 Logitech G302 Daedalus Prime 1 On 2 USB Your Daedalus Prime

More information

ICP Enablon User Manual Factory ICP Enablon 用户手册 工厂 Version th Jul 2012 版本 年 7 月 16 日. Content 内容

ICP Enablon User Manual Factory ICP Enablon 用户手册 工厂 Version th Jul 2012 版本 年 7 月 16 日. Content 内容 Content 内容 A1 A2 A3 A4 A5 A6 A7 A8 A9 Login via ICTI CARE Website 通过 ICTI 关爱网站登录 Completing the Application Form 填写申请表 Application Form Created 创建的申请表 Receive Acknowledgement Email 接收确认电子邮件 Receive User

More information

H3C CAS 虚拟机支持的操作系统列表. Copyright 2016 杭州华三通信技术有限公司版权所有, 保留一切权利 非经本公司书面许可, 任何单位和个人不得擅自摘抄 复制本文档内容的部分或全部, 并不得以任何形式传播 本文档中的信息可能变动, 恕不另行通知

H3C CAS 虚拟机支持的操作系统列表. Copyright 2016 杭州华三通信技术有限公司版权所有, 保留一切权利 非经本公司书面许可, 任何单位和个人不得擅自摘抄 复制本文档内容的部分或全部, 并不得以任何形式传播 本文档中的信息可能变动, 恕不另行通知 H3C CAS 虚拟机支持的操作系统列表 Copyright 2016 杭州华三通信技术有限公司版权所有, 保留一切权利 非经本公司书面许可, 任何单位和个人不得擅自摘抄 复制本文档内容的部分或全部, 并不得以任何形式传播 本文档中的信息可能变动, 恕不另行通知 目录 1 Windows 1 2 Linux 1 2.1 CentOS 1 2.2 Fedora 2 2.3 RedHat Enterprise

More information

Spark Standalone 模式应用程序开发 Spark 大数据博客 -

Spark Standalone 模式应用程序开发 Spark 大数据博客 - 在本博客的 Spark 快速入门指南 (Quick Start Spark) 文章中简单地介绍了如何通过 Spark s hell 来快速地运用 API 本文将介绍如何快速地利用 Spark 提供的 API 开发 Standalone 模式的应用程序 Spark 支持三种程序语言的开发 :Scala ( 利用 SBT 进行编译 ), Java ( 利用 Maven 进行编译 ) 以及 Python

More information

计算机组成原理第二讲 第二章 : 运算方法和运算器 数据与文字的表示方法 (1) 整数的表示方法. 授课老师 : 王浩宇

计算机组成原理第二讲 第二章 : 运算方法和运算器 数据与文字的表示方法 (1) 整数的表示方法. 授课老师 : 王浩宇 计算机组成原理第二讲 第二章 : 运算方法和运算器 数据与文字的表示方法 (1) 整数的表示方法 授课老师 : 王浩宇 haoyuwang@bupt.edu.cn 1 Today: Bits, Bytes, and Integers Representing information as bits Bit-level manipulations Integers Representation: unsigned

More information

上汽通用汽车供应商门户网站项目 (SGMSP) User Guide 用户手册 上汽通用汽车有限公司 2014 上汽通用汽车有限公司未经授权, 不得以任何形式使用本文档所包括的任何部分

上汽通用汽车供应商门户网站项目 (SGMSP) User Guide 用户手册 上汽通用汽车有限公司 2014 上汽通用汽车有限公司未经授权, 不得以任何形式使用本文档所包括的任何部分 上汽通用汽车供应商门户网站项目 (SGMSP) User Guide 用户手册 上汽通用汽车有限公司 2014 上汽通用汽车有限公司未经授权, 不得以任何形式使用本文档所包括的任何部分 SGM IT < 上汽通用汽车供应商门户网站项目 (SGMSP)> 工作产品名称 :< User Guide 用户手册 > Current Version: Owner: < 曹昌晔 > Date Created:

More information

Chapter 7: Deadlocks. Operating System Concepts 9 th Edition

Chapter 7: Deadlocks. Operating System Concepts 9 th Edition Chapter 7: Deadlocks Silberschatz, Galvin and Gagne 2013 Chapter Objectives To develop a description of deadlocks, which prevent sets of concurrent processes from completing their tasks To present a number

More information

AvalonMiner Raspberry Pi Configuration Guide. AvalonMiner 树莓派配置教程 AvalonMiner Raspberry Pi Configuration Guide

AvalonMiner Raspberry Pi Configuration Guide. AvalonMiner 树莓派配置教程 AvalonMiner Raspberry Pi Configuration Guide AvalonMiner 树莓派配置教程 AvalonMiner Raspberry Pi Configuration Guide 简介 我们通过使用烧录有 AvalonMiner 设备管理程序的树莓派作为控制器 使 用户能够通过控制器中管理程序的图形界面 来同时对多台 AvalonMiner 6.0 或 AvalonMiner 6.01 进行管理和调试 本教程将简要的说明 如何把 AvalonMiner

More information

如何查看 Cache Engine 缓存中有哪些网站 /URL

如何查看 Cache Engine 缓存中有哪些网站 /URL 如何查看 Cache Engine 缓存中有哪些网站 /URL 目录 简介 硬件与软件版本 处理日志 验证配置 相关信息 简介 本文解释如何设置处理日志记录什么网站 /URL 在 Cache Engine 被缓存 硬件与软件版本 使用这些硬件和软件版本, 此配置开发并且测试了 : Hardware:Cisco 缓存引擎 500 系列和 73xx 软件 :Cisco Cache 软件版本 2.3.0

More information

<properties> <jdk.version>1.8</jdk.version> <project.build.sourceencoding>utf-8</project.build.sourceencoding> </properties>

<properties> <jdk.version>1.8</jdk.version> <project.build.sourceencoding>utf-8</project.build.sourceencoding> </properties> SpringBoot 的基本操作 一 基本概念在 spring 没有出现的时候, 我们更多的是使用的 Spring,SpringMVC,Mybatis 等开发框架, 但是要将这些框架整合到 web 项目中需要做大量的配置,applicationContext.xml 以及 servlet- MVC.xml 文件等等, 但是这些文件还还不够, 还需要配置 web.xml 文件进行一系列的配置 以上操作是比较麻烦的,

More information

OTAD Application Note

OTAD Application Note OTAD Application Note Document Title: OTAD Application Note Version: 1.0 Date: 2011-08-30 Status: Document Control ID: Release _OTAD_Application_Note_CN_V1.0 Copyright Shanghai SIMCom Wireless Solutions

More information

Command Dictionary CUSTOM

Command Dictionary CUSTOM 命令模式 CUSTOM [(filename)] [parameters] Executes a "custom-designed" command which has been provided by special programming using the GHS Programming Interface. 通过 GHS 程序接口, 执行一个 用户设计 的命令, 该命令由其他特殊程序提供 参数说明

More information

Apache Kafka 源码编译 Spark 大数据博客 -

Apache Kafka 源码编译 Spark 大数据博客 - 经过近一个月时间, 终于差不多将之前在 Flume 0.9.4 上面编写的 source sink 等插件迁移到 Flume-ng 1.5.0, 包括了将 Flume 0.9.4 上面的 TailSou rce TailDirSource 等插件的迁移 ( 当然, 我们加入了许多新的功能, 比如故障恢复 日志的断点续传 按块发送日志以及每个一定的时间轮询发送日志而不是等一个日志发送完才发送另外一个日志

More information

Triangle - Delaunay Triangulator

Triangle - Delaunay Triangulator Triangle - Delaunay Triangulator eryar@163.com Abstract. Triangle is a 2D quality mesh generator and Delaunay triangulator. Triangle was created as part of the Quake project in the school of Computer Science

More information

Chapter 11 SHANDONG UNIVERSITY 1

Chapter 11 SHANDONG UNIVERSITY 1 Chapter 11 File System Implementation ti SHANDONG UNIVERSITY 1 Contents File-System Structure File-System Implementation Directory Implementation Allocation Methods Free-Space Management Efficiency and

More information

Chapter 1 (Part 2) Introduction to Operating System

Chapter 1 (Part 2) Introduction to Operating System Chapter 1 (Part 2) Introduction to Operating System 张竞慧办公室 : 计算机楼 366 室电邮 :jhzhang@seu.edu.cn 主页 :http://cse.seu.edu.cn/personalpage/zjh/ 电话 :025-52091017 1.1 Computer System Components 1. Hardware provides

More information

Understanding IO patterns of SSDs

Understanding IO patterns of SSDs 固态硬盘 I/O 特性测试 周大 众所周知, 固态硬盘是一种由闪存作为存储介质的数据库存储设备 由于闪存和磁盘之间物理特性的巨大差异, 现有的各种软件系统无法直接使用闪存芯片 为了提供对现有软件系统的支持, 往往在闪存之上添加一个闪存转换层来实现此目的 固态硬盘就是在闪存上附加了闪存转换层从而提供和磁盘相同的访问接口的存储设备 一方面, 闪存本身具有独特的访问特性 另外一方面, 闪存转换层内置大量的算法来实现闪存和磁盘访问接口之间的转换

More information

TBarCode OCX Microsoft ActiveX compliant Barcode Control

TBarCode OCX Microsoft ActiveX compliant Barcode Control TBarCode OCX Microsoft ActiveX compliant Barcode Control Version 9.0 Tutorial 19 December 2008 TEC-IT Datenverarbeitung GmbH W agnerstrasse 6 A-4400 Steyr, Austria t ++43 (0)7252 72720 f ++43 (0)7252 72720

More information

1. DWR 1.1 DWR 基础 概念 使用使用 DWR 的步骤. 1 什么是 DWR? Direct Web Remote, 直接 Web 远程 是一个 Ajax 的框架

1. DWR 1.1 DWR 基础 概念 使用使用 DWR 的步骤. 1 什么是 DWR? Direct Web Remote, 直接 Web 远程 是一个 Ajax 的框架 1. DWR 1.1 DWR 基础 1.1.1 概念 1 什么是 DWR? Direct Web Remote, 直接 Web 远程 是一个 Ajax 的框架 2 作用 使用 DWR, 可以直接在 html 网页中调用 Java 对象的方法 ( 通过 JS 和 Ajax) 3 基本原理主要技术基础是 :AJAX+ 反射 1) JS 通过 AJAX 发出请求, 目标地址为 /dwr/*, 被 DWRServlet(

More information

Build a Key Value Flash Disk Based Storage System. Flash Memory Summit 2017 Santa Clara, CA 1

Build a Key Value Flash Disk Based Storage System. Flash Memory Summit 2017 Santa Clara, CA 1 Build a Key Value Flash Disk Based Storage System Flash Memory Summit 2017 Santa Clara, CA 1 Outline Ø Introduction,What s Key Value Disk Ø A Evolution to Key Value Flash Disk Based Storage System Ø Three

More information

Microsoft RemoteFX: USB 和设备重定向 姓名 : 张天民 职务 : 高级讲师 公司 : 东方瑞通 ( 北京 ) 咨询服务有限公司

Microsoft RemoteFX: USB 和设备重定向 姓名 : 张天民 职务 : 高级讲师 公司 : 东方瑞通 ( 北京 ) 咨询服务有限公司 Microsoft RemoteFX: USB 和设备重定向 姓名 : 张天民 职务 : 高级讲师 公司 : 东方瑞通 ( 北京 ) 咨询服务有限公司 RemoteFX 中新的 USB 重定向特性 在 RDS 中所有设备重定向机制 VDI 部署场景讨论 : 瘦客户端和胖客户端 (Thin&Rich). 用户体验 : 演示使用新的 USB 重定向功能 81% 4 本地和远程的一致的体验 (Close

More information

三 依赖注入 (dependency injection) 的学习

三 依赖注入 (dependency injection) 的学习 三 依赖注入 (dependency injection) 的学习 EJB 3.0, 提供了一个简单的和优雅的方法来解藕服务对象和资源 使用 @EJB 注释, 可以将 EJB 存根对象注入到任何 EJB 3.0 容器管理的 POJO 中 如果注释用在一个属性变量上, 容器将会在它被第一次访问之前赋值给它 在 Jboss 下一版本中 @EJB 注释从 javax.annotation 包移到了 javax.ejb

More information

XML allows your content to be created in one workflow, at one cost, to reach all your readers XML 的优势 : 只需一次加工和投入, 到达所有读者的手中

XML allows your content to be created in one workflow, at one cost, to reach all your readers XML 的优势 : 只需一次加工和投入, 到达所有读者的手中 XML allows your content to be created in one workflow, at one cost, to reach all your readers XML 的优势 : 只需一次加工和投入, 到达所有读者的手中 We can format your materials to be read.. in print 印刷 XML Conversions online

More information

PCU50 的整盘备份. 本文只针对操作系统为 Windows XP 版本的 PCU50 PCU50 启动硬件自检完后, 出现下面文字时, 按向下光标键 光标条停在 SINUMERIK 下方的空白处, 如下图, 按回车键 PCU50 会进入到服务画面, 如下图

PCU50 的整盘备份. 本文只针对操作系统为 Windows XP 版本的 PCU50 PCU50 启动硬件自检完后, 出现下面文字时, 按向下光标键 光标条停在 SINUMERIK 下方的空白处, 如下图, 按回车键 PCU50 会进入到服务画面, 如下图 PCU50 的整盘备份 本文只针对操作系统为 Windows XP 版本的 PCU50 PCU50 启动硬件自检完后, 出现下面文字时, 按向下光标键 OS Loader V4.00 Please select the operating system to start: SINUMERIK Use and to move the highlight to your choice. Press Enter

More information

TW5.0 如何使用 SSL 认证. 先使用 openssl 工具 1 生成 CA 私钥和自签名根证书 (1) 生成 CA 私钥 openssl genrsa -out ca-key.pem 1024

TW5.0 如何使用 SSL 认证. 先使用 openssl 工具 1 生成 CA 私钥和自签名根证书 (1) 生成 CA 私钥 openssl genrsa -out ca-key.pem 1024 TW5.0 如何使用 SSL 认证 先使用 openssl 工具 1 生成 CA 私钥和自签名根证书 (1) 生成 CA 私钥 openssl genrsa -out ca-key.pem 1024 Generating RSA private key, 1024 bit long modulus.++++++...++++++ e is 65537 (0x10001) (2) 生成待签名证书 openssl

More information

第二小题 : 逻辑隔离 (10 分 ) OpenFlow Switch1 (PC-A/Netfpga) OpenFlow Switch2 (PC-B/Netfpga) ServerB PC-2. Switching Hub

第二小题 : 逻辑隔离 (10 分 ) OpenFlow Switch1 (PC-A/Netfpga) OpenFlow Switch2 (PC-B/Netfpga) ServerB PC-2. Switching Hub 第二小题 : 逻辑隔离 (10 分 ) 一 实验背景云平台服务器上的不同虚拟服务器, 分属于不同的用户 用户远程登录自己的虚拟服务器之后, 安全上不允许直接访问同一局域网的其他虚拟服务器 二 实验目的搭建简单网络, 通过逻辑隔离的方法, 实现用户能远程登录局域网内自己的虚拟内服务器, 同时不允许直接访问同一局域网的其他虚拟服务器 三 实验环境搭建如图 1-1 所示, 我们会创建一个基于 OpenFlow

More information

2. Introduction to Digital Media Format

2. Introduction to Digital Media Format Digital Asset Management 数字媒体资源管理 2. Introduction to Digital Media Format 任课 老师 : 张宏鑫 2014-09-30 Outline Image format and coding methods Audio format and coding methods Video format and coding methods

More information

组播路由 - MSDP 和 PIM 通过走

组播路由 - MSDP 和 PIM 通过走 组播路由 - MSDP 和 PIM 通过走 Contents Introduction 拓扑控制 - 飞机来源注册 ( 步骤 1-3) 接受器参加组 ( 第 4 步 - 第 11 步 ) R4 PIM RP 修剪 (S, G) 步骤 12 摘要 Related Information Introduction 本文描述独立于协议的组播 (PIM) 和多播源发现协议 (MSDP) 的操作与使用一简单的组播拓扑

More information

ZWO 相机固件升级参考手册. ZWO Camera Firmware Upgrade reference manual. 版权所有 c 苏州市振旺光电有限公司 保留一切权利 非经本公司许可, 任何组织和个人不得擅自摘抄 复制本文档内容的部分或者全部, 并

ZWO 相机固件升级参考手册. ZWO Camera Firmware Upgrade reference manual. 版权所有 c 苏州市振旺光电有限公司 保留一切权利 非经本公司许可, 任何组织和个人不得擅自摘抄 复制本文档内容的部分或者全部, 并 ZWO 相机固件升级参考手册 ZWO Camera Firmware Upgrade reference manual 文档编号 :ZW1802240ACSC ZWO Co., Ltd. Phone:+86 512 65923102 Web: http://www.zwoptical.com 版权所有 c 苏州市振旺光电有限公司 2015-2035 保留一切权利 非经本公司许可, 任何组织和个人不得擅自摘抄

More information

Silverlight 3 概览 俞晖市场推广经理微软 ( 中国 ) 有限公司

Silverlight 3 概览 俞晖市场推广经理微软 ( 中国 ) 有限公司 Silverlight 3 概览 俞晖市场推广经理微软 ( 中国 ) 有限公司 business opportunity 越来越丰富 MTV.COM (1996) CSS FLASH 4.0 AJAX HTML 3.2 DHTML SSL 3.0 FLASH 1.0 REAL AUDIO HTML 1.0 MTV.COM (2008) Silverlight 概览 跨浏览器 IE, Safari,

More information

Apache OpenWhisk + Kubernetes:

Apache OpenWhisk + Kubernetes: Apache OpenWhisk + Kubernetes: A Perfect Match for Your Serverless Platform Ying Chun Guo guoyingc@cn.ibm.com Zhou Xing xingzhou@qiyi.com Agenda What is serverless? Kubernetes + Apache OpenWhisk Technical

More information

Open Office Pdf Editor Extension Download ->>> DOWNLOAD

Open Office Pdf Editor Extension Download ->>> DOWNLOAD Open Office Pdf Editor Extension Download ->>> DOWNLOAD 1 / 5 2 / 5 ...Download white paper Explore Products MATLAB Simulink... 查看此网页的中文翻译, 请点击翻译此页查看此网页的中文翻译, 请点击翻译此页查看此网页的中文翻译, 请点击翻译此页 With OpenOffice,

More information

NyearBluetoothPrint SDK. Development Document--Android

NyearBluetoothPrint SDK. Development Document--Android NyearBluetoothPrint SDK Development Document--Android (v0.98) 2018/09/03 --Continuous update-- I Catalogue 1. Introduction:... 3 2. Relevant knowledge... 4 3. Direction for use... 4 3.1 SDK Import... 4

More information

朱晔和你聊 Spring 系列 S1E2: SpringBoot 并不神秘

朱晔和你聊 Spring 系列 S1E2: SpringBoot 并不神秘 朱晔和你聊 Spring 系列 S1E2: SpringBoot 并不神秘 文本我们会一步一步做一个例子来看看 SpringBoot 的自动配置是如何实现的, 然后来看一 些 SpringBoot 留给我们的扩展点 自己制作一个 SpringBoot Starter 我们知道 SpringBoot 提供了非常多的启动器, 引入了启动器依赖即可直接享受到自动依赖 配置和自动属性配置 : https://github.com/spring-projects/spring-boot/tree/master/spring-boot-project/springboot-starters

More information

我们应该做什么? 告知性分析 未来会发生什么? 预测性分析 为什么会发生 诊断性分析 过去发生了什么? 描述性分析 高级分析 传统 BI. Source: Gartner

我们应该做什么? 告知性分析 未来会发生什么? 预测性分析 为什么会发生 诊断性分析 过去发生了什么? 描述性分析 高级分析 传统 BI. Source: Gartner 价值 我们应该做什么? 告知性分析 未来会发生什么? 预测性分析 为什么会发生 诊断性分析 过去发生了什么? 描述性分析 传统 BI 高级分析 Source: Gartner 困难 常见方案 Cortana 高级分析套件 SQL Server 2016 或者 Microsoft R Server Machine Learning 或者 Microsoft R Server 1. 业务理解 2. 数据理解

More information

Keygen Codes For Photoshop Cs6 ->>> DOWNLOAD

Keygen Codes For Photoshop Cs6 ->>> DOWNLOAD Keygen Codes For Photoshop Cs6 ->>> DOWNLOAD 1 / 5 2 / 5 Photoshop Cs6 Crack Serial Ke....op_sp_fanyi{font-size:1em;word-break:normal;}.op_sp_fanyi.op_sp_fanyi_read{display: inline-block;*display: inline;*zoom:1;marginleft:4px;*position:relative;*top:-2px;}.op_sp_fanyi_how_read,.op_sp_fanyi_mp3_play{display:block;w

More information

nbns-list netbios-type network next-server option reset dhcp server conflict 1-34

nbns-list netbios-type network next-server option reset dhcp server conflict 1-34 目录 1 DHCP 1-1 1.1 DHCP 公共命令 1-1 1.1.1 dhcp dscp 1-1 1.1.2 dhcp enable 1-1 1.1.3 dhcp select 1-2 1.2 DHCP 服务器配置命令 1-3 1.2.1 address range 1-3 1.2.2 bims-server 1-4 1.2.3 bootfile-name 1-5 1.2.4 class 1-6

More information

Ganglia 是 UC Berkeley 发起的一个开源集群监视项目, 主要是用来监控系统性能, 如 :cpu mem 硬盘利用率, I/O 负载 网络流量情况等, 通过曲线很容易见到每个节点的工作状态, 对合理调整 分配系统资源, 提高系统整体性能起到重要作用

Ganglia 是 UC Berkeley 发起的一个开源集群监视项目, 主要是用来监控系统性能, 如 :cpu mem 硬盘利用率, I/O 负载 网络流量情况等, 通过曲线很容易见到每个节点的工作状态, 对合理调整 分配系统资源, 提高系统整体性能起到重要作用 在本博客的 Spark Metrics 配置详解 文章中介绍了 Spark Metrics 的配置, 其中我们就介绍了 Spark 监控支持 Ganglia Sink Ganglia 是 UC Berkeley 发起的一个开源集群监视项目, 主要是用来监控系统性能, 如 :cpu mem 硬盘利用率, I/O 负载 网络流量情况等, 通过曲线很容易见到每个节点的工作状态, 对合理调整 分配系统资源,

More information

新一代 ODA X5-2 低调 奢华 有内涵

新一代 ODA X5-2 低调 奢华 有内涵 新一代 ODA X5-2 低调 奢华 有内涵 李昊首席销售顾问甲骨文公司系统事业部 内容预览 1 2 3 4 ODA 概述 ODA X5-2 新功能 / 特性介绍 ODA X5-2 市场定位 & 竞争分析总结 & 讨论 内容预览 1 2 3 4 ODA 概述 ODA X5-2 新功能 / 特性介绍 ODA X5-2 市场定位 & 竞争分析总结 & 讨论 什么是 ODA ODA: 五年四代, 稳中求变

More information

VAS 5054A FAQ ( 所有 5054A 整合, 中英对照 )

VAS 5054A FAQ ( 所有 5054A 整合, 中英对照 ) VAS 5054A FAQ ( 所有 5054A 整合, 中英对照 ) About Computer Windows System Requirements ( 电脑系统要求方面 ) 问 :VAS 5054A 安装过程中出现错误提示 :code 4 (corrupt cabinet) 答 : 客户电脑系统有问题, 换 XP 系统安装 Q: When vas5054 install, an error

More information

Microsemi - Leading Innovation for China s Hyperscale Data Centers

Microsemi - Leading Innovation for China s Hyperscale Data Centers Power Matters. TM Microsemi - Leading Innovation for China s Hyperscale Data Centers Andrew Dieckmann Sr. Director, Scalable Storage Product Marketing 1 议程 China A Storage Growth Engine Data Center Storage

More information

测试基础架构 演进之路. 茹炳晟 (Robin Ru) ebay 中国研发中心

测试基础架构 演进之路. 茹炳晟 (Robin Ru) ebay 中国研发中心 测试基础架构 演进之路 茹炳晟 (Robin Ru) ebay 中国研发中心 茹炳晟 (Robin Ru) 主要工作经历 : ebay 中国研发中心 -- 测试基础架构技术主管 Hewlett-Packard 惠普软件 ( 中国 ) 研发中心 -- 测试架构师 资深测试专家 Alcatel-Lucent 阿尔卡特朗讯 ( 上海 ) 研发中心 -- 测试技术主管 Cisco 思科 ( 中国 ) 研发中心

More information

IPC 的 Proxy-Stub 设计模式 ( c)

IPC 的 Proxy-Stub 设计模式 ( c) B05_c 基於軟硬整合觀點 IPC 的 Proxy-Stub 设计模式 ( c) By 高煥堂 天子 曹操 地头蛇? 3 包裝 IBinder 接口基於軟硬整合觀點 -- 使用 Proxy-Stub 设计模式 采用 Proxy-Stub 设计模式将 IBinder 接口包装起来, 让 App 与 IBinder 接口不再产生高度相依性 應用程序 (App) 其将 IBinder 接口包装起来, 转换出更好用的新接口

More information

<?xml version="1.0"?> <?xml-stylesheet type="text/xsl" href="configuration.xsl"?> <configuration> <!--- global properties --> <property>

<?xml version=1.0?> <?xml-stylesheet type=text/xsl href=configuration.xsl?> <configuration> <!--- global properties --> <property> 1 重读配置文件 core-site.xml 要利用 Java 客户端来存取 HDFS 上的文件, 不得不说的是配置文件 hadoop-0.20.2/conf/core-site.xml 了, 最初我就是在这里吃了大亏, 所以我死活连不 上 HDFS, 文件无法创建 读取

More information

#MDCC Swift 链式语法应 用 陈乘

#MDCC Swift 链式语法应 用 陈乘 #MDCC 2016 Swift 链式语法应 用 陈乘 方 @ENJOY 关于我 Swift 开发者 ENJOY ios 客户端负责 人 两年年 Swift 实际项 目开发经验 微博 ID: webfrogs Twitter: nswebfrog Writing code is always easy, the hard part is reading it. 链式语法? 链式语法 可以连续不不断地进

More information

Multiprotocol Label Switching The future of IP Backbone Technology

Multiprotocol Label Switching The future of IP Backbone Technology Multiprotocol Label Switching The future of IP Backbone Technology Computer Network Architecture For Postgraduates Chen Zhenxiang School of Information Science and Technology. University of Jinan (c) Chen

More information

Smart Services Lucy Huo (Senior Consultant, UNITY Business Consulting) April 27, 2016

Smart Services Lucy Huo (Senior Consultant, UNITY Business Consulting) April 27, 2016 Smart Services Lucy Huo (Senior Consultant, UNITY Business Consulting) April 27, 2016 42 = Average Age of a Company According to Christensen, well-established companies are not capable of change in face

More information

SNMP Web Manager. User s Manual

SNMP Web Manager. User s Manual SNMP Web Manager User s Manual Table of Contents 1. Introduction... 2 2. SNMP Web Manager Install, Quick Start and Uninstall... 2 2.1. Software Installation... 3 2.2. Software Quick Start... 6 2.3. Software

More information

libde265 HEVC 性能测试报告

libde265 HEVC 性能测试报告 libde265 HEVC www.libde265.org libde265 HEVC 高效率视频编码 (HEVC) 是新的视频压缩标准, 是 H.264/MPEG-4 AVC (Advanced Video Coding) 的后继者 HEVC 是由 ISO/IEC Moving Picture Experts Group (MPEG) 和 ITU-T Video Coding Experts Group

More information

NetScreen 概念与范例. ScreenOS 参考指南 第 7 卷 : 虚拟系统. ScreenOS 编号 SC 修订本 E

NetScreen 概念与范例. ScreenOS 参考指南 第 7 卷 : 虚拟系统. ScreenOS 编号 SC 修订本 E NetScreen 概念与范例 ScreenOS 参考指南 第 7 卷 : 虚拟系统 ScreenOS 5.0.0 编号 093-0930-000-SC 修订本 E Copyright Notice Copyright 2004 NetScreen Technologies, Inc. All rights reserved. NetScreen, NetScreen Technologies, GigaScreen,

More information

Autodesk Backburner 2011 安装手册

Autodesk Backburner 2011 安装手册 Autodesk Backburner 2011 安装手册 Autodesk Backburner 2011 2010 Autodesk, Inc. All rights reserved. Except as otherwise permitted by Autodesk, Inc., this publication, or parts thereof, may not be reproduced

More information

梁永健. W K Leung. 华为企业业务 BG 解决方案销售部 CTO Chief Technology Officer, Solution Sales, Huawei

梁永健. W K Leung. 华为企业业务 BG 解决方案销售部 CTO Chief Technology Officer, Solution Sales, Huawei 梁永健 W K Leung 华为企业业务 BG 解决方案销售部 CTO Chief Technology Officer, Solution Sales, Huawei Network Threats ICT 移动化云计算社交化大数据 Mobile Cloud Social Big Data 网络威胁 APT Mobile threats Web threats Worms Trojans Botnet

More information

密级 : 博士学位论文. 论文题目基于 ScratchPad Memory 的嵌入式系统优化研究

密级 : 博士学位论文. 论文题目基于 ScratchPad Memory 的嵌入式系统优化研究 密级 : 博士学位论文 论文题目基于 ScratchPad Memory 的嵌入式系统优化研究 作者姓名指导教师学科 ( 专业 ) 所在学院提交日期 胡威陈天洲教授计算机科学与技术计算机学院二零零八年三月 A Dissertation Submitted to Zhejiang University for the Degree of Doctor of Philosophy TITLE: The

More information

Oracle 一体化创新云技术 助力智慧政府信息化战略. Copyright* *2014*Oracle*and/or*its*affiliates.*All*rights*reserved.** *

Oracle 一体化创新云技术 助力智慧政府信息化战略. Copyright* *2014*Oracle*and/or*its*affiliates.*All*rights*reserved.** * Oracle 一体化创新云技术 助力智慧政府信息化战略 ?* x * Exadata Exadata* * * Exadata* InfiniBand 0Gbits/S 5?10 * Exadata* * Exadata& & Oracle exadata! " 4 " 240 12! "!! " " " Exadata* Exadata & Single?Instance*Database*

More information

Vista 程序员的好帮手. XXX DPE, Microsoft China

Vista 程序员的好帮手. XXX DPE, Microsoft China Vista 程序员的好帮手 XXX DPE, Microsoft China xxx@microsoft.com 你在开发哪种程序? Server? Web 2.0? RIA(Rich Interactive Application)? 还是 Desktop 程序? 程序员的操作系统 Vista 为程序员提供了非常与业和方便的开发平台 用 WPF 开发下一代的桌面应用程序 化繁为简的 IIS7 提高了

More information

Supplementary Materials on Semaphores

Supplementary Materials on Semaphores Supplementary Materials on Semaphores Contents Semaphores Basic synchronization patterns Producers-Consumers (Bounded Buffer) Readers-Writers The Dining Philosophers More Exercises for You Dijkstra Edsger

More information

display portal server display portal user display portal user count display portal web-server

display portal server display portal user display portal user count display portal web-server 目录 1 Portal 1-1 1.1 Portal 配置命令 1-1 1.1.1 aaa-fail nobinding enable 1-1 1.1.2 aging-time 1-1 1.1.3 app-id (Facebook authentication server view) 1-2 1.1.4 app-id (QQ authentication server view) 1-3 1.1.5

More information

Color LaserJet Pro MFP M477 入门指南

Color LaserJet Pro MFP M477 入门指南 Color LaserJet Pro MFP M477 入门指南 Getting Started Guide 2 www.hp.com/support/colorljm477mfp www.register.hp.com ZHCN 4. 在控制面板上进行初始设置...2 5. 选择一种连接方式并准备安装软件...2 6. 找到或下载软件安装文件...3 7. 安装软件...3 8. 移动和无线打印

More information

Air Speaker. Getting started with Logitech UE Air Speaker. 快速入门罗技 UE Air Speaker. Wireless speaker with AirPlay. 无线音箱 (AirPlay 技术 )

Air Speaker. Getting started with Logitech UE Air Speaker. 快速入门罗技 UE Air Speaker. Wireless speaker with AirPlay. 无线音箱 (AirPlay 技术 ) Air Speaker Getting started with Logitech UE Air Speaker Wireless speaker with AirPlay 快速入门罗技 UE Air Speaker 无线音箱 (AirPlay 技术 ) for ipad, iphone, ipod touch and itunes ipad, iphone, ipod touch itunes Logitech

More information

Bi-monthly report. Tianyi Luo

Bi-monthly report. Tianyi Luo Bi-monthly report Tianyi Luo 1 Work done in this week Write a crawler plus based on keywords (Support Chinese and English) Modify a Sina weibo crawler (340M/day) Offline learning to rank module is completed

More information

漂亮的测试. By Alberto Savoia 代码之美 第七章 史际帆

漂亮的测试. By Alberto Savoia 代码之美 第七章 史际帆 漂亮的测试 代码之美 第七章 By Alberto Savoia 史际帆 作者简介 :Alberto Savoia Alberto Savoia is co-founder and CTO of Agitar Software. Before Agitar, he was Senior Director of Engineering at Google; prior to that he was the

More information

The Design of Everyday Things

The Design of Everyday Things The Design of Everyday Things Byron Li Copyright 2009 Trend Micro Inc. It's Not Your Fault Donald A. Norman & His Book Classification 03/17/11 3 Norman Door Why Learn to think from different aspects Contribute

More information

CloudStack 4.3 API 开发指南!

CloudStack 4.3 API 开发指南! CloudStack 4.3 API 开发指南 CloudStack4.3 离发布也不远了, 自从 CloudStack4.1 以后, 其耦合度 一步步下降, 这使开发变得更加容易, 今天我们就以 CloudStack4.3 版本为基础, 来感受 一下如何添加 一个新的 API 首先,CloudStack4.3 里所有的 API 都可认为是 一个插件提供的服务, 诸如 ACL, 网络, 主机以及管理服务器

More information

Declaration of Conformity STANDARD 100 by OEKO TEX

Declaration of Conformity STANDARD 100 by OEKO TEX Declaration of Conformity STANDARD 100 by OEKO TEX OEKO-TEX - International Association for Research and Testing in the Field of Textile and Leather Ecology OEKO-TEX - 国际纺织和皮革生态学研究和检测协会 Declaration of

More information

A Benchmark For Stroke Extraction of Chinese Characters

A Benchmark For Stroke Extraction of Chinese Characters 2015-09-29 13:04:51 http://www.cnki.net/kcms/detail/11.2442.n.20150929.1304.006.html 北京大学学报 ( 自然科学版 ) Acta Scientiarum Naturalium Universitatis Pekinensis doi: 10.13209/j.0479-8023.2016.025 A Benchmark

More information

Wireless Presentation Pod

Wireless Presentation Pod Wireless Presentation Pod WPP20 www.yealink.com Quick Start Guide (V10.1) Package Contents If you find anything missing, contact your system administrator. WPP20 Wireless Presentation Pod Quick Start Guide

More information

Packaging 10Apr2012 Rev V Specification MBXL HSG 1. PURPOSE 目的 2. APPLICABLE PRODUCT 适用范围

Packaging 10Apr2012 Rev V Specification MBXL HSG 1. PURPOSE 目的 2. APPLICABLE PRODUCT 适用范围 107-68703 Packaging 10Apr2012 Rev V Specification MBXL HSG 1. PURPOSE 目的 Define the packaging specifiction and packaging method of MBXL HSG. 订定 MBXL HSG 产品之包装规格及包装方式 2. APPLICABLE PRODUCT 适用范围 PKG TYPE

More information

实验三十三 DEIGRP 的配置 一 实验目的 二 应用环境 三 实验设备 四 实验拓扑 五 实验要求 六 实验步骤 1. 掌握 DEIGRP 的配置方法 2. 理解 DEIGRP 协议的工作过程

实验三十三 DEIGRP 的配置 一 实验目的 二 应用环境 三 实验设备 四 实验拓扑 五 实验要求 六 实验步骤 1. 掌握 DEIGRP 的配置方法 2. 理解 DEIGRP 协议的工作过程 实验三十三 DEIGRP 的配置 一 实验目的 1. 掌握 DEIGRP 的配置方法 2. 理解 DEIGRP 协议的工作过程 二 应用环境 由于 RIP 协议的诸多问题, 神州数码开发了与 EIGRP 完全兼容的 DEIGRP, 支持变长子网 掩码 路由选择参考更多因素, 如带宽等等 三 实验设备 1. DCR-1751 三台 2. CR-V35FC 一条 3. CR-V35MT 一条 四 实验拓扑

More information

XPages Extensibility API: Free Your Mind! 2011 IBM Corporation

XPages Extensibility API: Free Your Mind! 2011 IBM Corporation XPages Extensibility API: Free Your Mind! Before We Start... Who is this presentation aimed for? Individuals who: Are familiar with XPages or JSF Have a good understanding of XPages development Want to

More information

: Operating System 计算机原理与设计

: Operating System 计算机原理与设计 11741: Operating System 计算机原理与设计 Chapter 9: Virtual Memory( 虚存 ) 陈香兰 xlanchen@ustceducn http://staffustceducn/~xlanchen Computer Application Laboratory, CS, USTC @ Hefei Embedded System Laboratory, CS,

More information

软件和支持订订 1.1 订阅单位定义 订阅服务费用以称为 单位 的计量标准为依据 下表 1.1 定义了用于计量贵方使用的软件订阅的数量的各种单位 在贵方购买行为所适用的订单中以及在附件中包含了各种软件订阅所适用的具体单位

软件和支持订订 1.1 订阅单位定义 订阅服务费用以称为 单位 的计量标准为依据 下表 1.1 定义了用于计量贵方使用的软件订阅的数量的各种单位 在贵方购买行为所适用的订单中以及在附件中包含了各种软件订阅所适用的具体单位 PRODUCT APPENDIX 1 SOFTWARE AND SUPPORT SUBSCRIPTIONS 软件和支持订订 This Product Appendix (which includes Exhibits applicable to specific Red Hat Products) contains terms that describe the parameters and govern

More information

计算机科学与技术专业本科培养计划. Undergraduate Program for Specialty in Computer Science & Technology

计算机科学与技术专业本科培养计划. Undergraduate Program for Specialty in Computer Science & Technology 计算机科学与技术学院 计算机科学与技术学院下设 6 个研究所 : 计算科学理论研究所 数据工程研究所 并行分布式计算研究所 数据存储研究所 数字媒体研究所 信息安全研究所 ;2 个中心 : 嵌入式软件与系统工程中心和教学中心 外存储系统国家专业实验室 教育部信息存储系统重点实验室 中国教育科研网格主结点 国家高性能计算中心 ( 武汉 ) 服务计算技术与系统教育部重点实验室 湖北省数据库工程技术研究中心

More information

测试 SFTP 的 问题在归档配置页的 MediaSense

测试 SFTP 的 问题在归档配置页的 MediaSense 测试 SFTP 的 问题在归档配置页的 MediaSense Contents Introduction Prerequisites Requirements Components Used 问题 : 测试 SFTP 按钮发生故障由于 SSH 算法协商故障解决方案 Bug Reled Informion Introduction 本文描述如何解决可能发生的安全壳 SSH 算法协商故障, 当您配置一个安全文件传输协议

More information

Command Dictionary -- DAMSTAB

Command Dictionary -- DAMSTAB 命令模式 DAMSTAB [(divlist)] /SDIC /SDIHC /SDIHR /SDI194C[SP] /SDI194P[SP] /SDI216C[SP] /SDI216P[SP] /SDIPtype [/L:l1,l2] [/B:b1[,b2]] [/N:n1,n2] [/STOP[AT][:n]] [/DLL:draftloadline] [/LIMITHEEL:angle] [/WRITE:runfile]

More information

U-CONTROL UMX610/UMX490/UMX250. The Ultimate Studio in a Box: 61/49/25-Key USB/MIDI Controller Keyboard with Separate USB/Audio Interface

U-CONTROL UMX610/UMX490/UMX250. The Ultimate Studio in a Box: 61/49/25-Key USB/MIDI Controller Keyboard with Separate USB/Audio Interface U-CONTROL UMX610/UMX490/UMX250 The Ultimate Studio in a Box: 61/49/25-Key USB/MIDI Controller Keyboard with Separate USB/Audio Interface 2 U-CONTROL UMX610/UMX490/UMX250 快速启动向导 3 其他的重要信息 ¼'' TS 1. 2. 3.

More information

MP3 文件是由帧 (frame) 构成的, 帧是 MP3 文件最小的组成单位 MP3 的全称应为 MPEG1 Layer-3 音频文件,MPEG(Moving Picture Experts Group) 在汉语中译为活动图像专家组, 特指活动影音压缩标准,MPEG

MP3 文件是由帧 (frame) 构成的, 帧是 MP3 文件最小的组成单位 MP3 的全称应为 MPEG1 Layer-3 音频文件,MPEG(Moving Picture Experts Group) 在汉语中译为活动图像专家组, 特指活动影音压缩标准,MPEG MP3 文件格式一. 概述 : MP3 文件是由帧 (frame) 构成的, 帧是 MP3 文件最小的组成单位 MP3 的全称应为 MPEG1 Layer-3 音频文件,MPEG(Moving Picture Experts Group) 在汉语中译为活动图像专家组, 特指活动影音压缩标准,MPEG 音频文件是 MPEG1 标准中的声音部分, 也叫 MPEG 音频层, 它根据压缩质量和编码复杂程度划分为三层,

More information

OpenCascade 的曲面.

OpenCascade 的曲面. 在 OpenSceneGraph 中绘制 OpenCascade 的曲面 eryar@163.com 摘要 Abstract : 本文对 OpenCascade 中的几何曲面数据进行简要说明, 并结合 OpenSceneGraph 将这些曲面显示 关键字 Key Words:OpenCascade OpenSceneGraph Geometry Surface NURBS 一 引言 Introduction

More information

public static InetAddress getbyname(string host) public static InetAddress getlocalhost() public static InetAddress[] getallbyname(string host)

public static InetAddress getbyname(string host) public static InetAddress getlocalhost() public static InetAddress[] getallbyname(string host) 网络编程 杨亮 网络模型 访问 网络 Socket InetAddress 类 public static InetAddress getbyname(string host) public static InetAddress getlocalhost() public static InetAddress[] getallbyname(string host) public class OreillyByName

More information

Jbuilder 2007 开发 EJB3.0 Entity 罗代均 ldj_work#126.com 2007 年 8 月

Jbuilder 2007 开发 EJB3.0 Entity 罗代均 ldj_work#126.com 2007 年 8 月 一. 准备开发环境 Jbuilder 2007 开发 EJB3.0 Entity 罗代均 ldj_work#126.com 2007 年 8 月 Jbuilder 2007, 新版 JBuilder2007 基于 Eclipse 平台, 与以往的 JBuilder 版本完全不同. 借助于 Eclipse 的开放平台以及丰富的插件, 使得 JBulider 对当前的流行的框架支持非常好, 同时支持 EJB3.0

More information

Technology: Anti-social Networking 科技 : 反社交网络

Technology: Anti-social Networking 科技 : 反社交网络 Technology: Anti-social Networking 科技 : 反社交网络 1 Technology: Anti-social Networking 科技 : 反社交网络 The Growth of Online Communities 社交网络使用的增长 Read the text below and do the activity that follows. 阅读下面的短文, 然后完成练习

More information

学习沉淀成长分享 EIGRP. 红茶三杯 ( 朱 SIR) 微博 : Latest update:

学习沉淀成长分享 EIGRP. 红茶三杯 ( 朱 SIR) 微博 :  Latest update: 学习沉淀成长分享 EIGRP 红茶三杯 ( 朱 SIR) 微博 :http://t.sina.com/vinsoney Latest update: 2012-06-01 课程目标 EIGRP 协议基础 EIGRP 基础配置 EIGRP 协议基础 EIGRP 的协议特点 EIGRP 的三张表 EIGRP 数据包 初始路由发现 EIGRP metric DUAL 算法 EIGRP 的协议特点 CISCO

More information

Congestion Control Mechanisms for Ad-hoc Social Networks 自组织社会网络中的拥塞控制机制

Congestion Control Mechanisms for Ad-hoc Social Networks 自组织社会网络中的拥塞控制机制 Congestion Control Mechanisms for Ad-hoc Social Networks 自组织社会网络中的拥塞控制机制 by Hannan-Bin-Liaqat (11117018) to School of Software in partial fulfillment of the requirements for the degree of Doctor of Philosophy

More information

1. Spring 整合 Jdbc 进行持久层开发

1. Spring 整合 Jdbc 进行持久层开发 本章学习目标 小风 Java 实战系列教程 Spring 整合 Jdbc 进行持久层开发 Spring 事务管理的 XML 方式 Spring 事务管理的注解方式 Spring 事务管理的零配置方式 1. Spring 整合 Jdbc 进行持久层开发 1.1. JdbcTemplate 的基本使用 JdbcTemplate 类是 Spring 框架提供用于整合 Jdcb 技术的工具类 这个工具类提

More information

CA Application Performance Management

CA Application Performance Management CA Application Performance Management for IBM WebSphere Portal 指南 版本 9.5 本文档包括内嵌帮助系统和以电子形式分发的材料 ( 以下简称 文档 ), 其仅供参考,CA 随时可对其进行更改或撤销 未经 CA 事先书面同意, 不得擅自复制 转让 翻印 透露 修改或转录本文档的全部或部分内容 本文档属于 CA 的机密和专有信息, 不得擅自透露,

More information

3dvia Composer Solidworks

3dvia Composer Solidworks 3dvia Composer Solidworks 1 / 6 2 / 6 3 / 6 3dvia Composer Solidworks Detail View of a Detail View. Garth COLEMAN: Nice tips, Tim! Easily Use Your Drawing Frames for Technical Illustrations Just with 3DVIA

More information

1. Features. 2,Block diagram. 3. Outline dimension V power supply. 3. Assembled with 20 x 4 character displays

1. Features. 2,Block diagram. 3. Outline dimension V power supply. 3. Assembled with 20 x 4 character displays 1. Features 1. +5V power supply 2. Communicate over RS-232, 3. Assembled with 20 x 4 character displays 4. Built-in font with provision for up to 8 user defined 5. Easy Text Display Commands for printing

More information

China Next Generation Internet (CNGI) project and its impact. MA Yan Beijing University of Posts and Telecommunications 2009/08/06.

China Next Generation Internet (CNGI) project and its impact. MA Yan Beijing University of Posts and Telecommunications 2009/08/06. China Next Generation Internet (CNGI) project and its impact MA Yan Beijing University of Posts and Telecommunications 2009/08/06 Outline Next Generation Internet CNGI project in general CNGI-CERNET2 CERNET2

More information

Safety Life Cycle Model IEC61508 安全生命周期模型 -IEC61508

Safety Life Cycle Model IEC61508 安全生命周期模型 -IEC61508 exida is a unique organization rich with Functional Safety and Control System Security support, products, services, experience, expertise, and an unending quest to exceed customer expectations. Fully integrated

More information

EBD EBD. end

EBD EBD. end EBD end 1. 2. 3. 4. us-ms ms-s s+ 5. 6. 7. 8. 9. 10. 11. EBD / 1. 2. 3. 4. 1. 2. 3. 4. TCP/IP + = IC PCB IP intellectual Property IC CPU DSP RAM ROM ASIC IP CPU GPRS linux OS RTOS TCP/IP H.323 MCU MCUvsCPU

More information

mod_callcenter callcenter.conf.xml 范例 odbc-dsn

mod_callcenter callcenter.conf.xml 范例 odbc-dsn mod_callcenter 本文英文部分是来自 https://freeswitch.org/confluence/display/freeswitch/mod_callcenter, 中文部分是通过分析 mod_callcenter.c 的代码根据个人 的理解整理而成 关于作者 鼎鼎 :cdevelop@qq.com qq:1280791187 本文会不定期更新, 最新版本在 http://www.ddrj.com/?p=79

More information

Parallel Programming Principle and Practice Lecture 7

Parallel Programming Principle and Practice Lecture 7 Parallel Programming Principle and Practice Lecture 7 Threads programming with TBB Outline Intel Threading Building Blocks Task-based programming Task Scheduler Scalable Memory Allocators Concurrent Containers

More information

绝佳的并行处理 - FPGA 加速的根本基石

绝佳的并行处理 - FPGA 加速的根本基石 赛灵思技术日 XILINX TECHNOLOGY DAY 绝佳的并行处理 - 加速的根本基石 朱勇赛灵思大中华区业务拓展总监 2019 年 3 月 19 日 加速 : 大幅提升应用的性能 Without acceleration CPU func1 func2 func3 func4 With acceleration CPU func1 func3 func4 func2 handles compute-intensive,

More information

Operating Systems. Chapter 4 Threads. Lei Duan

Operating Systems. Chapter 4 Threads. Lei Duan Operating Systems Chapter 4 Threads Lei Duan leiduan@scu.edu.cn 2015.2 Agenda 4.1 Processes and Threads 4.2 Types of Threads 4.3 Multicore and Multithreading 4.4 Summary 2015-04-01 2/49 Agenda 4.1 Processes

More information

Murrelektronik Connectivity Interface Part I Product range MSDD, cable entry panels MSDD 系列, 电缆穿线板

Murrelektronik Connectivity Interface Part I Product range MSDD, cable entry panels MSDD 系列, 电缆穿线板 Murrelektronik Connectivity Interface Part I Product range MSDD, cable entry panels MSDD 系列, 电缆穿线板 INTERFACE PORTFOLIO PG 03 Relays 继电器 Intelligent Interface Technology 智能转换技术 Passive Interface Technology

More information

Safe Memory-Leak Fixing for C Programs

Safe Memory-Leak Fixing for C Programs Safe Memory-Leak Fixing for C Programs Qing Gao, Yingfei Xiong, Yaqing Mi, Lu Zhang, Weikun Yang, Zhaoing Zhou, Bing Xie, Hong Mei Institute of Software, Peking Unversity 内存管理 安全攸关软件的开发必然涉及内存管理问题 软件工程经典问题,

More information

BlueCore BlueTunes Configuration Tool User Guide

BlueCore BlueTunes Configuration Tool User Guide BlueCore BlueTunes Configuration Tool User Guide Issue 1 CSR Cambridge Science Park Milton Road Cambridge CB4 0WH United Kingdom Registered in England 3665875 Tel.: +44 (0)1223 692000 Fax.: +44 (0)1223

More information

Table of Contents. DS159-ZH LUXEON XR-3020 Product Datasheet Lumileds Holding B.V. All rights reserved.

Table of Contents. DS159-ZH LUXEON XR-3020 Product Datasheet Lumileds Holding B.V. All rights reserved. Illumination LUXEON XR-3020 安装在超细刚性基板的线性 LED 模块 确保 高光效设计 LUXEON XR-3020 产品是为荧光灯置换等窄 20mm 宽 照明应用优化的超细 线性 LED 模块 该整合式解决方案具有 1 或 2 英尺版本 在 CEM3 导热基板 上安装 24 或 48 个 LED LUXEON XR-3020 设计可轻松整合系统 简化供应 链及加快上市速度

More information

CHAPTER 5 NEW INTERNET APPLICATIONS

CHAPTER 5 NEW INTERNET APPLICATIONS CHAPTER 5 NEW INTERNET APPLICATIONS 5.1 INSTANT MESSAGING (IM) 5.1.1 OVERVIEW OF INSTANT MESSAGING (IM) Instant messaging (IM) is an extension of e-mail that allows two or more people to contact each other

More information

智能终端与物联网应用 课程建设与实践. 邝坚 嵌入式系统与网络通信研究中心北京邮电大学计算机学院

智能终端与物联网应用 课程建设与实践. 邝坚 嵌入式系统与网络通信研究中心北京邮电大学计算机学院 智能终端与物联网应用 课程建设与实践 邝坚 jkuang@bupt.edu.cn 嵌入式系统与网络通信研究中心北京邮电大学计算机学院 定位 移动互联网 服务 安 理解 云计算 服务计算 可信 全 交换感知 嵌入式计算 计算 现状与趋势 p 移动互联网发展迅猛 第 27 次中国互联网络发展状况统计报告 (CNNIC) 指出截至 2010 年 12 月, 中国互联网用户数已达到 4.57 亿, 其中移动互联网网民数已达

More information