Compile your code using ncvhdl. This is the way to compile comp_const.vhd:! "#$ %" #&'

Size: px
Start display at page:

Download "Compile your code using ncvhdl. This is the way to compile comp_const.vhd:! "#$ %" #&'"

Transcription

1 Tools: This short document describes the most basic knowledge needed to perform verification using Specman and NCSim. If you encounter any errors, problems or feel something is missing, don't hesitate to contact me via and I will correct / expend this booklet. Compiling VHDL code using ncvhdl The first step is to compile your VHDL code. Place all your.vhd files in a single directory (we ll call it base_dir). Within base_dir create two subdirectories called worklib and temp_lib: Copy hdl.var and cds.lib to base_dir: Compile your code using ncvhdl. This is the way to compile comp_const.vhd:! "#$ %" #&' A good compilation result should look something like this: In case there are errors, the compiler will fail with an appropriate message. Below is an example of a compilation error (all because of an extra ';'):

2 In case your code includes assertions, add the flag '(' to the ncvdhl activation command To compile a Vunit, add '()*) +' to the ncvdhl activation command For example: )! "#$%"#&' Elaborating VHDL using ncelab: Elaboration is similar to the linkage process. To elaborate, use ncelab. As parameters, the entity and architecture must be specified. In the example below, comp_tb is the entity and comp_tb_arch is the architecture. Note the use of worklib: it is the same directory specified as the WORKLIB in the compilation process., -! "#$ A good elaboration result should look something like this:

3 Since we've implemented the entity only once (for each entity there is only one available architecture), default binding occurs (i.e., the architecture is linked to the entity). If this is not the case, 'configuration' must be used (which is beyond the scope of our course)

4 Running NCSim in standalone mode: In case you want to run NCSim in standalone mode (i.e., you have a test bench / test written in VHDL) use:./, Where the entity and architecture are as described before NCSim's GUI looks like this: Where the window on the bottom right is a console. Aside from transferring control to Specman (which is detailed in a different section), the console is redundant. You can browse through your design's hierarchy be expanding its level (left mouse click on the '+' sign). Your top most entity is the one without name. On the right hand side of the window, the signals and variables of the instantiation you've chosen (by left mouse click) are shown:

5 Synchronous processes are marked with a clock-like symbol (like the sdu's PROCESS_001 in the snapshot above), asynchronous processes are marked with a backslash symbol. In order to view signals in the waveform viewer, choose the signals you want (you can choose several signals using ctrl and shift like in Windows), press the right mouse click and choose the 'Send To waveform' option:

6 Once in the waveform viewer, use the buttons circled in red (in the snapshot below) to start / pause / reset the simulation, and the buttons circled in yellow to zoom in / zoom out and scroll right and left to the area you want to inspect. Typically, when you first run the simulation, you have to zoom out a lot until the scale is reasonable. Every time you make a change in your VHDL code, you have to go through the entire process again (compiling, elaborating, simulation), you can't reload the design again. One of the things I found useful was to save the waveform configuration. To do so, choose File -> Save command script (in any of the windows). Then, when you rerun NCSim, simply choose File -> Source Command Script to return to your previous configuration.

7 Running Specview in standalone mode: Before running Specview / Specman, make sure your.cshrc includes the following line: / 0/ Specview is Specman with a gui. To run it, simply type: When Specview loads, you will this GUI: The GUI is divided to five relevant parts: Menus at the top Shortcut buttons below the menus Main window Command line and 'Specman' button The 'ready' bar at the bottom right corner To load your files, you can use either: File (menu) -> Load The Load shortcut button Use the command (like in the snapshot above. Note that the '.e' extension is not needed) Specman loads files in an incremental way, meaning that in case new files are loaded, they are loaded "on top" of the previously loaded files. This could be a problem if you want to run a different test (i.e., run test1 and later test2). A common reason for that is

8 that Specman thinks you've declared the same data member twice, like in the example below: To avoid that, use the 'restore' button (or write restore in the command line). This will reset Specman to its original state (no files loaded). By pressing the 'Modules' button you can see which files Specman loaded by now.

9 By selecting a file and pressing the 'Source' button (in the 'Modules' window) you can see the actual file itself. This is useful for placing break points and watches for debugging. Note that you cannot place a breakpoint over static code (i.e., constraints). Pressing the 'Test' button will cause the generator to run, and instantiate all the units and structs you've used (i.e., wrote 'is instance' for unit, or placed as data member for structs), at the end of the test phase, run() is called (again, for each unit and struct). In case you want to run the test phase with a random seed, select 'Test with random seed' in the 'Test' menu. Every time you generate with a given seed, you'll get the same results. In case you wish to generate with a specific seed (say 1234) type: in the command line. After the test phase, you can browse through the allocated units and structs by pressing the 'sys' button. Aside from 'logger' which is a predefined member of 'sys, you will see your hierarchy on the left side, along with fields, event, method, list items, and the location in source code where the indicated unit / struct was declared

10 The '() Methods' tab in the right window is a good place to look at in case you suspect you had a loading order problem. You can see the 'status' of the method, and if you choose it, the source file of the latest loaded version will be displayed in the bottom right part.

11 To view your test's coverage, press the 'Coverage' shortcut button. A separate window will open. This window has two parts: on the left hand side, you'll see all the coverage groups you've defined, along with the predefined ones. There's a group called session.events, this group contains a coverage item for each event defined in your test bench (this group can be used to debug your events). Beside each group name there will be a red / yellow / green bar indicating the amount of coverage for that group. You can extend the group to view its items. Choosing an item will cause its coverage data to appear on the window's right hand side, this way you can locate your coverage holes. In the snapshot below, you can see that within the packet_payload_size item, the 'MINIMAL' value has not been covered

12 Sometime, coverage groups will be grayed-out (like the payload_actual_size group in snapshot below). Typically, this is due to the number of "buckets" required for this item. Specman collects coverage based on buckets: in the snapshot above, packet_payload_size has five possible values, so Specman allocates five buckets for it. However, the payload_actual_size item is based on a struct data member which is a six-bit uint, so 2 6 buckets are needed. Specman has a configuration parameter defining the maximal amount of buckets to allocate per item. In case this number is exceeded, the item is grayed-out, and the actual values covered are displayed in gray.

13 There are two ways to fix this problem: 1. Group values into buckets we will learn how to do this in the tutorials 2. Add the following line somewhere in your tests bench (in the test or in the coverage file would be best): 6.7 / 897 ).8: 6 /:5; 3 1 9< =< =< The lines above will enable Specman to allocate 1024 buckets per item

14 Running specman with NCSim: Before running Specman together with NCSim, a 'stubs' file must be created. The 'stubs' file is composed of two main elements: Basic stuff needed for Specman to "talk" to NCSim Specman can use VHDL / Verilog code written in the test bench this code is also written to the 'stubs' file To create the 'stubs' file, load Specman / Specview and type in the command line: / Specman should responds with $! "#/ Exit Specman and verify a file named specman_nc.vhd was created. Compile the 'stubs' file:! "#$ %"#&' Your top level architecture must include a linkage element to Specman, this will typically look like the following lines within the top architecture: < ), /0 %89. &, < (The '..' is not a part of the code) Compile and elaborate your design (as explained in the previous sections) Set an environment variable: %"&? A"&B/C;2#"$C5 Create an executable: This should take a while but should end with the line: D 0 Finally, to actually load Specman with NCSim together type:./a% &E5;;;;;; ).,).! "#$

15 where worklib is the directory used with the work flag during compilation, frag_tb is the top entity and frag_tb_arch is the top architecture (you can get those from the end of the elaboration process they should be written in the last line ncelab writes) Even though most of the steps need to be done once, this process is notoriously fragile: you might skip one of the steps or have a typo in one of your flags, and it would all seem alright until the last step. Errors in the process usually results in one of three problems: Specman + NCSim simply won't run Specman runs, and immediately you'll get the "Specman exited without a 'quit' command" message Both Specman and NCSim load, but when you'll try to transfer control (explained below), it won't work (sometimes NCSim alerts of a segmentation fault) If any of the above occurs, simply start the process all over again. I recommend spending some time on writing a script for this, and modifying it until you get it right. When Specman and NCSim load, you'll see both GUIs together: Initially, NCSim has control. That's why Specman's command line is grayed out. To transfer control to specman, type in NCSim's console. To transfer control from Specman to NCSim, press the 'Specman' button on the left of the command line. Work with NCSim and Specman is mostly as described before (in the standalone sections). The typical process of running a test looks like: 1) NCSim has control 2) Arrange the signals you want to look at in a waveform viewer a. In case you want to rerun a test, reset simulation

16 3) Transfer control to Specman 4) Load the test a. In properly constructed environments, the test imports the rest of the test bench, so loading a single file should be enough 5) Press the 'Test' button (or test-with-random-seed ) 6) Transfer control back to NCSim 7) Press the 'play' button to activate simulation 8) Something in your test bench should cause the simulation to stop (either an error found, or the test ended). At this stage, NCSim has control. 9) Do post simulation things: review the signals, coverage, etc. Note: in case you try to do things in Specman / NCSim while the other has control, the tool might not comply to your requests (even zooming in / out at the waveform viewer) Note: when rerunning a test, NCSim must be reset before Specman s test phase Running in batch mode: Create a file called batch.ecom (this file includes the commands Specman will execute once it loads). Place the following lines in the file: )./6 4< FA A&%< 5< 4 < Where the third line contains the location of the test you d like to run Create a file called batch.cmd (this file includes the commands NCSim will execute once it loads). Place the following lines in the file: /< 6< Finally, to run simulation: GH I A % &E 5;;;;;; 3,3! "#$ Note: All the general step which are needed to run with GUI are required (i.e., creating ncvhdl_specman, setting CDS_INST_DIR )

Cadence Verilog Simulation Tutorial Mark L. Chang Last revision: September 18, 2005 Cadence version: Cadence IUS s011

Cadence Verilog Simulation Tutorial Mark L. Chang Last revision: September 18, 2005 Cadence version: Cadence IUS s011 Cadence Verilog Simulation Tutorial Mark L. Chang Last revision: September 18, 2005 Cadence version: Cadence IUS 05.41-s011 This tutorial was originally written for ENGR 3410, Computer Architecture. It

More information

VHDL Tutorial. Cadence Setup Instructions for setting up Cadence tools is provided on the webpage.

VHDL Tutorial. Cadence Setup Instructions for setting up Cadence tools is provided on the webpage. CMPE 35 VHDL Tutorial Cadence Setup Instructions for setting up Cadence tools is provided on the webpage. VHDL Setup After following the above setup steps verify that you have a cds.lib and hdl.var file.

More information

Using Simulator With Undertow Suite

Using Simulator With Undertow Suite Using Simulator With Undertow Suite STEPS FOR SIMULATING USING VCS: Source environment variables For example, envsource has all the environment variables set up. You can change the paths accordingly. ------------envsource

More information

Cadence NC-Verilog Simulator Tutorial. Product Version 5.1 September 2003

Cadence NC-Verilog Simulator Tutorial. Product Version 5.1 September 2003 Cadence NC-Verilog Simulator Tutorial Product Version 5.1 September 2003 1995-2003 Cadence Design Systems, Inc. All rights reserved. Printed in the United States of America. Cadence Design Systems, Inc.,

More information

At the shell prompt, enter idlde

At the shell prompt, enter idlde IDL Workbench Quick Reference The IDL Workbench is IDL s graphical user interface and integrated development environment. The IDL Workbench is based on the Eclipse framework; if you are already familiar

More information

Lab 1.5 (Warmup): Synthesis Workflow and SystemVerilog Register File Not Due

Lab 1.5 (Warmup): Synthesis Workflow and SystemVerilog Register File Not Due CMU 18-447: Introduction to Computer Architecture Lab 1.5 (Warmup): Synthesis Workflow and SystemVerilog Register File Not Due In this tutorial, you will take a quick tour of the tools we will use in this

More information

BugHunter Pro and the VeriLogger Simulators. Copyright 2007, SynaptiCAD, Inc.

BugHunter Pro and the VeriLogger Simulators. Copyright 2007, SynaptiCAD, Inc. BugHunter Pro and the VeriLogger Simulators BugHunter Pro and the VeriLogger Simulators Copyright, version 12 All rights reserved. No parts of this work may be reproduced in any form or by any means -

More information

Introduction. Watch the video below to learn more about getting started with PowerPoint. Getting to know PowerPoint

Introduction. Watch the video below to learn more about getting started with PowerPoint. Getting to know PowerPoint PowerPoint 2016 Getting Started With PowerPoint Introduction PowerPoint is a presentation program that allows you to create dynamic slide presentations. These presentations can include animation, narration,

More information

3 TUTORIAL. In This Chapter. Figure 1-0. Table 1-0. Listing 1-0.

3 TUTORIAL. In This Chapter. Figure 1-0. Table 1-0. Listing 1-0. 3 TUTORIAL Figure 1-0. Table 1-0. Listing 1-0. In This Chapter This chapter contains the following topics: Overview on page 3-2 Exercise One: Building and Running a C Program on page 3-4 Exercise Two:

More information

Simulate the Design using the XSim Simulator

Simulate the Design using the XSim Simulator Simulate the Design using the XSim Simulator This tutorial guides you through the simulation flow using Xsim simulator within Vivado design environment. In this tutorial, you will simulate the workings

More information

VeriLogger Tutorial: Basic Verilog Simulation

VeriLogger Tutorial: Basic Verilog Simulation VeriLogger Tutorial: Basic Verilog Simulation This tutorial demonstrates the basic simulation features of VeriLogger Pro. It teaches you how to create and manage a project and how to build, simulate, and

More information

ENSC 350 ModelSim Altera Tutorial

ENSC 350 ModelSim Altera Tutorial ENSC 350 ModelSim Altera Tutorial This is a quick guide get you started with the ModelSim Altera simulator. ModelSim is only a functional verification tool so you will also have to use Quartus II to complete

More information

Simulating Visual IP Models with the NC-Verilog, Verilog-XL, VCS, or ModelSim (UNIX) Simulators

Simulating Visual IP Models with the NC-Verilog, Verilog-XL, VCS, or ModelSim (UNIX) Simulators White Paper Simulating Visual IP Models with the NC-Verilog, Verilog-XL, VCS, or ModelSim (UNIX) Simulators You can use the Visual IP software from Innoveda with Altera-provided models to simulate Altera

More information

Code::Blocks Student Manual

Code::Blocks Student Manual Code::Blocks Student Manual Lawrence Goetz, Network Administrator Yedidyah Langsam, Professor and Theodore Raphan, Distinguished Professor Dept. of Computer and Information Science Brooklyn College of

More information

Code::Blocks Student Manual

Code::Blocks Student Manual Code::Blocks Student Manual Lawrence Goetz, Network Administrator Yedidyah Langsam, Professor and Theodore Raphan, Distinguished Professor Dept. of Computer and Information Science Brooklyn College of

More information

MicroBlaze Tutorial on EDK 10.1 using Sparatan III E Behavioural Simulation of MicroBlaze System

MicroBlaze Tutorial on EDK 10.1 using Sparatan III E Behavioural Simulation of MicroBlaze System MicroBlaze Tutorial on EDK 10.1 using Sparatan III E Behavioural Simulation of MicroBlaze System Ahmed Elhossini January 24, 2010 1 Introduction 1.1 Objectives This tutorial will demonstrate process of

More information

Contents. Appendix B HDL Entry Tutorial 2 Page 1 of 14

Contents. Appendix B HDL Entry Tutorial 2 Page 1 of 14 Appendix B HDL Entry Tutorial 2 Page 1 of 14 Contents Appendix B HDL Entry Tutorial 2...2 B.1 Getting Started...2 B.1.1 Preparing a Folder for the Project...2 B.1.2 Starting Quartus II...2 B.1.3 Creating

More information

ISE Simulator (ISim) In-Depth Tutorial. UG682 (v 13.1) March 1, 2011

ISE Simulator (ISim) In-Depth Tutorial. UG682 (v 13.1) March 1, 2011 ISE Simulator (ISim) In-Depth Tutorial Xilinx is disclosing this user guide, manual, release note, and/or specification (the "Documentation") to you solely for use in the development of designs to operate

More information

How to Create an e-book. A Step-by-Step Illustrated Guide

How to Create an e-book. A Step-by-Step Illustrated Guide How to Create an e-book A Step-by-Step Illustrated Guide 1 Table of Contents Introduction...3 Inserting or Changing an Image...6 Formatting the Default Paragraphs...14 Adding a Table of Contents...18 Setting

More information

PROFESSOR: Last time, we took a look at an explicit control evaluator for Lisp, and that bridged the gap between

PROFESSOR: Last time, we took a look at an explicit control evaluator for Lisp, and that bridged the gap between MITOCW Lecture 10A [MUSIC PLAYING] PROFESSOR: Last time, we took a look at an explicit control evaluator for Lisp, and that bridged the gap between all these high-level languages like Lisp and the query

More information

UNIVERSITY OF CALIFORNIA, DAVIS Department of Electrical and Computer Engineering. EEC180A DIGITAL SYSTEMS I Winter 2015

UNIVERSITY OF CALIFORNIA, DAVIS Department of Electrical and Computer Engineering. EEC180A DIGITAL SYSTEMS I Winter 2015 UNIVERSITY OF CALIFORNIA, DAVIS Department of Electrical and Computer Engineering EEC180A DIGITAL SYSTEMS I Winter 2015 LAB 1: Introduction to Quartus II Schematic Capture and ModelSim Simulation This

More information

Getting Started with Word

Getting Started with Word Getting Started with Word gcflearnfree.org/print/word2016/word-2016-28 Introduction Microsoft Word 2016 is a word processing application that allows you to create a variety of documents, including letters,

More information

ACT-R Environment Manual

ACT-R Environment Manual Working Draft Dan Bothell Table of Contents Table of Contents...2 Preface...3 Introduction...4 Running the Environment...6 Environment Overview...9 Current Model...11 Model...13 Control...15 Current Data...30

More information

CADENCE TUTORIAL. San Diego State University, Department of Electrical and Computer Engineering. Amith Dharwadkar and Ashkan Ashrafi

CADENCE TUTORIAL. San Diego State University, Department of Electrical and Computer Engineering. Amith Dharwadkar and Ashkan Ashrafi CADENCE TUTORIAL San Diego State University, Department of Electrical and Computer Engineering Amith Dharwadkar and Ashkan Ashrafi 1 Contents 1) 2) 3) 4) 5) 6) Introduction 3 Connecting to the Volta server..4

More information

Advanced module: Video en/decoder on Virtex 5

Advanced module: Video en/decoder on Virtex 5 Advanced module: Video en/decoder on Virtex 5 Content 1. Advanced module: Video en/decoder on Virtex 5... 2 1.1. Introduction to the lab environment... 3 1.1.1. Remote control... 4 1.2. Getting started

More information

Start Active-HDL. Create a new workspace TUTORIAL #1 CREATING AND SIMULATING SIMPLE SCHEMATICS

Start Active-HDL. Create a new workspace TUTORIAL #1 CREATING AND SIMULATING SIMPLE SCHEMATICS Introduction to Active-HDL TUTORIAL #1 CREATING AND SIMULATING SIMPLE SCHEMATICS This tutorial will introduce the tools and techniques necessary to design a basic schematic. The goal of this tutorial is

More information

Prerequisites for Eclipse

Prerequisites for Eclipse Prerequisites for Eclipse 1 To use Eclipse you must have an installed version of the Java Runtime Environment (JRE). The latest version is available from java.com/en/download/manual.jsp Since Eclipse includes

More information

Arduino IDE Friday, 26 October 2018

Arduino IDE Friday, 26 October 2018 Arduino IDE Friday, 26 October 2018 12:38 PM Looking Under The Hood Of The Arduino IDE FIND THE ARDUINO IDE DOWNLOAD First, jump on the internet with your favorite browser, and navigate to www.arduino.cc.

More information

! Emacs Howto Tutorial!

! Emacs Howto Tutorial! Emacs Howto Tutorial According to a description at GNU.org, Emacs is the extensible, customizable, selfdocumenting real-time display editor. It offers true LISP -- smoothly integrated into the editor --

More information

HOW TO USE CODE::BLOCKS IDE FOR COMPUTER PROGRAMMING LABORATORY SESSIONS

HOW TO USE CODE::BLOCKS IDE FOR COMPUTER PROGRAMMING LABORATORY SESSIONS HOW TO USE CODE::BLOCKS IDE FOR COMPUTER PROGRAMMING LABORATORY SESSIONS INTRODUCTION A program written in a computer language, such as C/C++, is turned into executable using special translator software.

More information

How to Customize the ModelSim Wave View in the Altera Quartus Simulation Flow

How to Customize the ModelSim Wave View in the Altera Quartus Simulation Flow How to Customize the ModelSim Wave View in the Altera Quartus Simulation Flow Cristian Sisterna Summary When ModelSim is automatically lunched from the Quartus environment, it just displays the top level

More information

ECE QNX Real-time Lab

ECE QNX Real-time Lab Department of Electrical & Computer Engineering Concordia University ECE QNX Real-time Lab User Guide Dan Li 9/12/2011 User Guide of ECE Real-time QNX Lab Contents 1. About Real-time QNX Lab... 2 Contacts...

More information

Vivado Design Suite User Guide

Vivado Design Suite User Guide Vivado Design Suite User Guide Design Flows Overview Notice of Disclaimer The information disclosed to you hereunder (the Materials ) is provided solely for the selection and use of Xilinx products. To

More information

Debugging INTRODUCTION DEBUGGER WHAT IS VBA'S DEBUGGING ENVIRONMENT?

Debugging INTRODUCTION DEBUGGER WHAT IS VBA'S DEBUGGING ENVIRONMENT? Debugging INTRODUCTION Logic errors are called bugs. The process of finding and correcting errors is called debugging. A common approach to debugging is to use a combination of methods to narrow down to

More information

Programming Logic - Beginning

Programming Logic - Beginning Programming Logic - Beginning 152-101 Debugging Applications Quick Links & Text References Debugging Concepts Pages Debugging Terminology Pages Debugging in Visual Studio Pages Breakpoints Pages Watches

More information

A. Setting Up the Environment a. ~/ece394 % mkdir synopsys b.

A. Setting Up the Environment a. ~/ece394 % mkdir synopsys b. ECE 394 ASIC & FPGA Design Synopsys Design Compiler and Design Analyzer Tutorial A. Setting Up the Environment a. Create a new folder (i.e. synopsys) under your ece394 directory ~/ece394 % mkdir synopsys

More information

Visual Studio.NET. Although it is possible to program.net using only the command OVERVIEW OF VISUAL STUDIO.NET

Visual Studio.NET. Although it is possible to program.net using only the command OVERVIEW OF VISUAL STUDIO.NET Chapter. 03 9/17/01 6:08 PM Page 35 Visual Studio.NET T H R E E Although it is possible to program.net using only the command line compiler, it is much easier and more enjoyable to use Visual Studio.NET.

More information

Replay Xcessory Quick Start

Replay Xcessory Quick Start Replay Xcessory Quick Start Read this document to get started quickly with Replay Xcessory. It tells you about the following topics: What is Replay Xcessory? Starting up Replay Xcessory Understanding the

More information

QNX Software Development Platform 6.6. Quickstart Guide

QNX Software Development Platform 6.6. Quickstart Guide QNX Software Development Platform 6.6 QNX Software Development Platform 6.6 Quickstart Guide 2005 2014, QNX Software Systems Limited, a subsidiary of BlackBerry. All rights reserved. QNX Software Systems

More information

ISim In-Depth Tutorial. UG682 (v13.4) January 18, 2012

ISim In-Depth Tutorial. UG682 (v13.4) January 18, 2012 ISim In-Depth Tutorial Xilinx is disclosing this user guide, manual, release note, and/or specification (the "Documentation") to you solely for use in the development of designs to operate with Xilinx

More information

Quartus II Tutorial. September 10, 2014 Quartus II Version 14.0

Quartus II Tutorial. September 10, 2014 Quartus II Version 14.0 Quartus II Tutorial September 10, 2014 Quartus II Version 14.0 This tutorial will walk you through the process of developing circuit designs within Quartus II, simulating with Modelsim, and downloading

More information

Lecture 5: Aldec Active-HDL Simulator

Lecture 5: Aldec Active-HDL Simulator Lecture 5: Aldec Active-HDL Simulator 1. Objective The objective of this tutorial is to introduce you to Aldec s Active-HDL 9.1 Student Edition simulator by performing the following tasks on a 4-bit adder

More information

Introduction to 9.0. Introduction to 9.0. Getting Started Guide. Powering collaborative online communities.

Introduction to 9.0. Introduction to 9.0. Getting Started Guide. Powering collaborative online communities. Introduction to 9.0 Introduction to 9.0 Getting Started Guide Powering collaborative online communities. TABLE OF CONTENTS About FirstClass...3 Connecting to your FirstClass server...3 FirstClass window

More information

Windows XP. A Quick Tour of Windows XP Features

Windows XP. A Quick Tour of Windows XP Features Windows XP A Quick Tour of Windows XP Features Windows XP Windows XP is an operating system, which comes in several versions: Home, Media, Professional. The Windows XP computer uses a graphics-based operating

More information

Photoshop Tutorial: Basic Selections

Photoshop Tutorial: Basic Selections Photoshop Tutorial: Basic Selections Written by Steve Patterson, Edited by Mr. Nickel In this Photoshop tutorial, we're going to look at how to get the most out of Photoshop's basic selection tools, such

More information

CHAPTER 1 COPYRIGHTED MATERIAL. Finding Your Way in the Inventor Interface

CHAPTER 1 COPYRIGHTED MATERIAL. Finding Your Way in the Inventor Interface CHAPTER 1 Finding Your Way in the Inventor Interface COPYRIGHTED MATERIAL Understanding Inventor s interface behavior Opening existing files Creating new files Modifying the look and feel of Inventor Managing

More information

EECS 470 Lab 1 Assignment

EECS 470 Lab 1 Assignment Note: The lab should be completed individually. EECS 470 Lab 1 Assignment The lab must be checked off by a GSI before lab on Thursday, 13 th September, 2018. 1 Linux Introduction and Setup The work in

More information

Vivado Walkthrough ECGR Fall 2015

Vivado Walkthrough ECGR Fall 2015 ECGR 2181 - Vivado Walkthrough 1 Vivado Walkthrough ECGR 2181 - Fall 2015 Intro In this walkthrough we re going to go through the process of creating a project, adding sources, writing vhdl, simulating

More information

JEE2600 INTRODUCTION TO DIGITAL LOGIC AND COMPUTER DESIGN. ModelSim Tutorial. Prepared by: Phil Beck 9/8/2008. Voter Function

JEE2600 INTRODUCTION TO DIGITAL LOGIC AND COMPUTER DESIGN. ModelSim Tutorial. Prepared by: Phil Beck 9/8/2008. Voter Function JEE2600 INTRODUCTION TO DIGITAL LOGIC AND COMPUTER DESIGN ModelSim Tutorial Prepared by: Phil Beck 9/8/2008 Vote 1 Vote 2 Voter Function Pass Vote 3 Pass is only a 1 when two or more of the Vote inputs

More information

Debugging in Small Basic is the process of analysing a program to detect and fix errors or improve functionality in some way.

Debugging in Small Basic is the process of analysing a program to detect and fix errors or improve functionality in some way. How to Debug Introduction Debugging in Small Basic is the process of analysing a program to detect and fix errors or improve functionality in some way. In order to debug a program it must first compile

More information

NSCC SUMMER LEARNING SESSIONS MICROSOFT OFFICE SESSION

NSCC SUMMER LEARNING SESSIONS MICROSOFT OFFICE SESSION NSCC SUMMER LEARNING SESSIONS MICROSOFT OFFICE SESSION Module 1 Using Windows Welcome! Microsoft Windows is an important part of everyday student life. Whether you are logging onto an NSCC computer or

More information

Supplement H.1: JBuilder X Tutorial. For Introduction to Java Programming, 5E By Y. Daniel Liang

Supplement H.1: JBuilder X Tutorial. For Introduction to Java Programming, 5E By Y. Daniel Liang Supplement H.1: JBuilder X Tutorial For Introduction to Java Programming, 5E By Y. Daniel Liang This supplement covers the following topics: Getting Started with JBuilder Creating a Project Creating, Compiling,

More information

Supplement II.B(1): JBuilder X Tutorial. For Introduction to Java Programming By Y. Daniel Liang

Supplement II.B(1): JBuilder X Tutorial. For Introduction to Java Programming By Y. Daniel Liang Supplement II.B(1): JBuilder X Tutorial For Introduction to Java Programming By Y. Daniel Liang This supplement covers the following topics: Getting Started with JBuilder Creating a Project Creating, Compiling,

More information

Interfacing a PS/2 Keyboard

Interfacing a PS/2 Keyboard Lab 3 in SMD52 Interfacing a PS/2 Keyboard Introduction In this lab you will interface a PS/2 keyboard (standard PC keyboard) with the XSB board. Scan codes will be received from the keyboard and displayed

More information

Slide 1 CS 170 Java Programming 1 Testing Karel

Slide 1 CS 170 Java Programming 1 Testing Karel CS 170 Java Programming 1 Testing Karel Introducing Unit Tests to Karel's World Slide 1 CS 170 Java Programming 1 Testing Karel Hi Everybody. This is the CS 170, Java Programming 1 lecture, Testing Karel.

More information

Using Visual Studio.NET: IntelliSense and Debugging

Using Visual Studio.NET: IntelliSense and Debugging DRAFT Simon St.Laurent 3/1/2005 2 Using Visual Studio.NET: IntelliSense and Debugging Since you're going to be stuck using Visual Studio.NET anyway, at least for this edition of the.net Compact Framework,

More information

AutoCollage 2008 makes it easy to create an AutoCollage from a folder of Images. To create an AutoCollage:

AutoCollage 2008 makes it easy to create an AutoCollage from a folder of Images. To create an AutoCollage: Page 1 of 18 Using AutoCollage 2008 AutoCollage 2008 makes it easy to create an AutoCollage from a folder of Images. To create an AutoCollage: 1. Click on a folder name in the Image Browser. 2. Once at

More information

Handout Objectives: a. b. c. d. 3. a. b. c. d. e a. b. 6. a. b. c. d. Overview:

Handout Objectives: a. b. c. d. 3. a. b. c. d. e a. b. 6. a. b. c. d. Overview: Computer Basics I Handout Objectives: 1. Control program windows and menus. 2. Graphical user interface (GUI) a. Desktop b. Manage Windows c. Recycle Bin d. Creating a New Folder 3. Control Panel. a. Appearance

More information

Working with Prime Network Vision Maps

Working with Prime Network Vision Maps CHAPTER 5 The topological map is the main tool used by Cisco Prime Network Vision (Prime Network Vision) to display the links and relationships between the network elements and aggregations. The following

More information

Slide 1 CS 170 Java Programming 1

Slide 1 CS 170 Java Programming 1 CS 170 Java Programming 1 Objects and Methods Performing Actions and Using Object Methods Slide 1 CS 170 Java Programming 1 Objects and Methods Duration: 00:01:14 Hi Folks. This is the CS 170, Java Programming

More information

Vivado Design Suite User Guide

Vivado Design Suite User Guide Vivado Design Suite User Guide Using the Vivado IDE Notice of Disclaimer The information disclosed to you hereunder (the Materials ) is provided solely for the selection and use of Xilinx products. To

More information

Adobe Photoshop How to Use the Marquee Selection Tools

Adobe Photoshop How to Use the Marquee Selection Tools Adobe Photoshop How to Use the Marquee Selection Tools In Photoshop there are various ways to make a selection and also various reasons why you'd want to make a selection. You may want to remove something

More information

The Domino Designer QuickStart Tutorial

The Domino Designer QuickStart Tutorial The Domino Designer QuickStart Tutorial 1. Welcome The Domino Designer QuickStart Tutorial You've installed Domino Designer, you've taken the Designer Guided Tour, and maybe you've even read some of the

More information

This paper was presented at DVCon-Europe in November It received the conference Best Paper award based on audience voting.

This paper was presented at DVCon-Europe in November It received the conference Best Paper award based on audience voting. This paper was presented at DVCon-Europe in November 2015. It received the conference Best Paper award based on audience voting. It is a very slightly updated version of a paper that was presented at SNUG

More information

Laboratory Assignment #4 Debugging in Eclipse CDT 1

Laboratory Assignment #4 Debugging in Eclipse CDT 1 Lab 4 (10 points) November 20, 2013 CS-2301, System Programming for Non-majors, B-term 2013 Objective Laboratory Assignment #4 Debugging in Eclipse CDT 1 Due: at 11:59 pm on the day of your lab session

More information

An Illustrated Guide to Shell Magic: Standard I/O & Redirection

An Illustrated Guide to Shell Magic: Standard I/O & Redirection An Illustrated Guide to Shell Magic: Standard I/O & Redirection Created by Brennen Bearnes Last updated on 2015-03-03 05:15:07 PM EST Guide Contents Guide Contents Overview Input & Output Standard I/O

More information

Introduction. Using Styles. Word 2010 Styles and Themes. To Select a Style: Page 1

Introduction. Using Styles. Word 2010 Styles and Themes. To Select a Style: Page 1 Word 2010 Styles and Themes Introduction Page 1 Styles and themes are powerful tools in Word that can help you easily create professional looking documents. A style is a predefined combination of font

More information

ACT-R Environment Manual

ACT-R Environment Manual ACT-R Environment Manual Working Draft Dan Bothell Table of Contents Table of Contents...2 Preface...3 Introduction...4 Running the Environment...6 Environment Overview...9 Current Model...11 Model...13

More information

CSE 374 Programming Concepts & Tools. Brandon Myers Winter 2015 Lecture 11 gdb and Debugging (Thanks to Hal Perkins)

CSE 374 Programming Concepts & Tools. Brandon Myers Winter 2015 Lecture 11 gdb and Debugging (Thanks to Hal Perkins) CSE 374 Programming Concepts & Tools Brandon Myers Winter 2015 Lecture 11 gdb and Debugging (Thanks to Hal Perkins) Hacker tool of the week (tags) Problem: I want to find the definition of a function or

More information

Chrome. Browsing in Chrome. The Omnibox. Video: Browsing in Chrome. To use the Omnibox: Omnibox suggestion icons. Page 1

Chrome. Browsing in Chrome. The Omnibox. Video: Browsing in Chrome. To use the Omnibox: Omnibox suggestion icons. Page 1 Chrome Browsing in Chrome Browsing in Chrome Page 1 Chrome makes browsing the Web quick and easy. In this lesson, we'll talk about navigating to websites in Chrome. You'll also learn how use tabs, access

More information

Hi everyone. I hope everyone had a good Fourth of July. Today we're going to be covering graph search. Now, whenever we bring up graph algorithms, we

Hi everyone. I hope everyone had a good Fourth of July. Today we're going to be covering graph search. Now, whenever we bring up graph algorithms, we Hi everyone. I hope everyone had a good Fourth of July. Today we're going to be covering graph search. Now, whenever we bring up graph algorithms, we have to talk about the way in which we represent the

More information

Actel Libero TM Integrated Design Environment v2.3 Structural Schematic Flow Design Tutorial

Actel Libero TM Integrated Design Environment v2.3 Structural Schematic Flow Design Tutorial Actel Libero TM Integrated Design Environment v2.3 Structural Schematic Flow Design Tutorial 1 Table of Contents Design Flow in Libero TM IDE v2.3 Step 1 - Design Creation 3 Step 2 - Design Verification

More information

Verilog Design Entry, Synthesis, and Behavioral Simulation

Verilog Design Entry, Synthesis, and Behavioral Simulation ------------------------------------------------------------- PURPOSE - This lab will present a brief overview of a typical design flow and then will start to walk you through some typical tasks and familiarize

More information

Inspiration Quick Start Tutorial

Inspiration Quick Start Tutorial Inspiration Quick Start Tutorial 1 Inspiration Quick Start Tutorial This tutorial is a great starting point for learning how to use Inspiration. Please plan on about 45 minutes from start to finish. If

More information

You will work in groups of four for the project (same groups as Project 1).

You will work in groups of four for the project (same groups as Project 1). COS/ELE 375 Prof. August Lab 2: PAW Processor Design (18 Nov 2015) Due January 12, 2015 Introduction In the prior project, you became familiar with the PAW instruction set. In this project you will design,

More information

Project Debugging with MDK-ARM

Project Debugging with MDK-ARM Project Debugging with MDK-ARM Notes: This document assumes MDK-ARM Version 5.xx (µvision5 ) is installed with the required ST-Link USB driver, device family pack (STM32F4xx for STM32F4-Discovery board;

More information

SQL Server. Management Studio. Chapter 3. In This Chapter. Management Studio. c Introduction to SQL Server

SQL Server. Management Studio. Chapter 3. In This Chapter. Management Studio. c Introduction to SQL Server Chapter 3 SQL Server Management Studio In This Chapter c Introduction to SQL Server Management Studio c Using SQL Server Management Studio with the Database Engine c Authoring Activities Using SQL Server

More information

Click the buttons in the interactive below to learn more about using Mail view in Outlook 2010.

Click the buttons in the interactive below to learn more about using Mail view in Outlook 2010. Outlook 2010 Sending and Receiving Email Introduction Mail view is the default view for Outlook 2010, which you'll use to manage your email messages. Page 1 In this lesson, you'll learn about using Mail

More information

FIREFOX MENU REFERENCE This menu reference is available in a prettier format at

FIREFOX MENU REFERENCE This menu reference is available in a prettier format at FIREFOX MENU REFERENCE This menu reference is available in a prettier format at http://support.mozilla.com/en-us/kb/menu+reference FILE New Window New Tab Open Location Open File Close (Window) Close Tab

More information

TourMaker Reference Manual. Intro

TourMaker Reference Manual. Intro TourMaker Reference Manual Intro Getting Started Tutorial: Edit An Existing Tour Key Features & Tips Tutorial: Create A New Tour Posting A Tour Run Tours From Your Hard Drive Intro The World Wide Web is

More information

EE 330 Laboratory Experiment Number 11

EE 330 Laboratory Experiment Number 11 EE 330 Laboratory Experiment Number 11 Design and Simulation of Digital Circuits using Hardware Description Languages Fall 2017 Contents Purpose:... 3 Background... 3 Part 1: Inverter... 4 1.1 Simulating

More information

Can You Even Debug a 200M+ Gate Design?

Can You Even Debug a 200M+ Gate Design? Can You Even Debug a 200M+ Gate Design? Horace Chan PMC-Sierra 8555 Baxter Place, Burnaby, BC Canada, V5A 4V7 604-415-6000 Brian Vandegriend PMC-Sierra 8555 Baxter Place, Burnaby, BC Canada, V5A 4V7 604-415-6000

More information

NetLogo Lab 3 Tutorial: Finding Peaks

NetLogo Lab 3 Tutorial: Finding Peaks NetLogo Lab 3 Tutorial: Finding Peaks You've already been exposed to the three types of agents you can give commands to in NetLogo: turtles, patches, and the observer. As you start to write your own procedures,

More information

Getting Started with Xilinx WebPack 13.1

Getting Started with Xilinx WebPack 13.1 Getting Started with Xilinx WebPack 13.1 B. Ackland June 2011 (Adapted from S. Tewksbury notes WebPack 7.1) This tutorial is designed to help you to become familiar with the operation of the WebPack software

More information

Screenshots Made Easy

Screenshots Made Easy Screenshots Made Easy Welcome to the simplest screenshot tutorial ever. We'll be using the simplest graphic editing tool ever: Microsoft Paint. The goal of this tutorial is to get you making your own screenshots

More information

Supplement: Visual C++ Debugging

Supplement: Visual C++ Debugging Supplement: Visual C++ Debugging For Introduction to C++ Programming By Y. Daniel Liang Note: The screen shots are taken from VC++ 2010. It is the same for the later version. 1 Introduction The debugger

More information

2 TUTORIAL. Overview. VisualDSP Getting Started Guide 2-1 for SHARC DSPs

2 TUTORIAL. Overview. VisualDSP Getting Started Guide 2-1 for SHARC DSPs 2 TUTORIAL This chapter contains the following topics. Overview on page 2-1 Exercise One: Building and Running a C Program on page 2-3 Exercise Two: Calling an Assembly Routine and Creating an LDF on page

More information

Introduction. Rehearse and Record Slide Shows. Advanced Presentation Options. Rehearsing Slide Show Timings. Page 1

Introduction. Rehearse and Record Slide Shows. Advanced Presentation Options. Rehearsing Slide Show Timings. Page 1 Advanced Presentation Options Introduction Page 1 There are many things to keep in mind when giving a presentation. How long will your presentation last? What will you say? If you're not in the same location

More information

Linked Lists. What is a Linked List?

Linked Lists. What is a Linked List? Linked Lists Along with arrays, linked lists form the basis for pretty much every other data stucture out there. This makes learning and understand linked lists very important. They are also usually the

More information

Chapter 1 Getting Started

Chapter 1 Getting Started Chapter 1 Getting Started The C# class Just like all object oriented programming languages, C# supports the concept of a class. A class is a little like a data structure in that it aggregates different

More information

VERSION JANUARY 19, 2015 TEST STUDIO QUICK-START GUIDE STANDALONE & VISUAL STUDIO PLUG-IN TELERIK A PROGRESS COMPANY

VERSION JANUARY 19, 2015 TEST STUDIO QUICK-START GUIDE STANDALONE & VISUAL STUDIO PLUG-IN TELERIK A PROGRESS COMPANY VERSION 2015.1 JANUARY 19, 2015 TEST STUDIO QUICK-START GUIDE STANDALONE & VISUAL STUDIO PLUG-IN TELERIK A PROGRESS COMPANY TEST STUDIO QUICK-START GUIDE CONTENTS Create your First Test.2 Standalone Web

More information

BasicScript 2.25 User s Guide. May 29, 1996

BasicScript 2.25 User s Guide. May 29, 1996 BasicScript 2.25 User s Guide May 29, 1996 Information in this document is subject to change without notice. No part of this document may be reproduced or transmitted in any form or by any means, electronic

More information

Adding the ILA Core to an Existing Design Lab

Adding the ILA Core to an Existing Design Lab Adding the ILA Core to an Existing Introduction This lab consists of adding a ChipScope Pro software ILA core with the Core Inserter tool and debugging a nonfunctioning design. The files for this lab are

More information

The purpose of this tutorial is to introduce you to the Construct 2 program. First, you will be told where the software is located on the computer

The purpose of this tutorial is to introduce you to the Construct 2 program. First, you will be told where the software is located on the computer Learning Targets: Students will be introduced to industry recognized game development software Students will learn how to navigate within the software Students will learn the basics on how to use Construct

More information

Laboratory 5: Implementing Loops and Loop Control Strategies

Laboratory 5: Implementing Loops and Loop Control Strategies Laboratory 5: Implementing Loops and Loop Control Strategies Overview: Objectives: C++ has three control structures that are designed exclusively for iteration: the while, for and do statements. In today's

More information

CPE/EE 427, CPE 527, VLSI Design I: VHDL design simulation, synthesis, and ASIC flow, Laboratory #8,

CPE/EE 427, CPE 527, VLSI Design I: VHDL design simulation, synthesis, and ASIC flow, Laboratory #8, CPE/EE 427, CPE 527, VLSI Design I: VHDL design simulation, synthesis, and ASIC flow, Laboratory #8, Joel Wilder and Aleksandar Milenkovic, ECE Dept., The University of Alabama in Huntsville 1. INTRODUCTION

More information

CMOS VLSI Design Lab 2: Datapath Design and Verification

CMOS VLSI Design Lab 2: Datapath Design and Verification CMOS VLSI Design Lab 2: Datapath Design and Verification In this lab, you will begin designing an 8-bit MIPS processor. You will first review and simulate a Verilog model of the overall processor. You

More information

PowerPoint Slide Basics. Introduction

PowerPoint Slide Basics. Introduction PowerPoint 2016 Slide Basics Introduction Every PowerPoint presentation is composed of a series of slides. To begin creating a slide show, you'll need to know the basics of working with slides. You'll

More information

Microsoft Office Word 2010

Microsoft Office Word 2010 Microsoft Office Word 2010 Content Microsoft Office... 0 A. Word Basics... 4 1.Getting Started with Word... 4 Introduction... 4 Getting to know Word 2010... 4 The Ribbon... 4 Backstage view... 7 The Quick

More information

MITOCW watch?v=0jljzrnhwoi

MITOCW watch?v=0jljzrnhwoi MITOCW watch?v=0jljzrnhwoi The following content is provided under a Creative Commons license. Your support will help MIT OpenCourseWare continue to offer high quality educational resources for free. To

More information