Quartus II Tutorial. September 10, 2014 Quartus II Version 14.0

Size: px
Start display at page:

Download "Quartus II Tutorial. September 10, 2014 Quartus II Version 14.0"

Transcription

1 Quartus II Tutorial September 10, 2014 Quartus II Version 14.0 This tutorial will walk you through the process of developing circuit designs within Quartus II, simulating with Modelsim, and downloading designs to the DE 1 SoC board. Note that the steps we show you here will be used throughout the class take notes, and refer back to the appropriate sections when you are working on future labs. 1. Installing Quartus II Software Most of the designs in this class will be done through the Altera Quartus II software. This is preloaded on machines in the EE department, and you are free to do all the work on these PCs. However, if you have a PC of your own that you would like to use, you can install the software there as well. If you do not want to set up Quartus on your own machine, skip to the next section. To install the software on your own PC, grab the Quartus 14.0 software from the EE271 website. You ll need both the Quartus software tarfile, and the CycloneV qdz file. Save these both to the same directory. Extract the tar file (I use 7zip, but other tools are out there), and then run the setup.bat file. Make sure you select the ModelSim Altera Starter Edition when running setup.bat. Install the optional components as well. Run Quartus II. If it asks about installing devices, say yes (if it doesn t ask, then likely the files for the Altera devices were already installed). The directory with the Quartus II device files is the directory you downloaded the individual file into previously. You want to install the Cyclone V files. 2. Getting Started in Quartus II In this class we will do multiple labs using the Quartus II software. As part of this, we will create multiple files for your designs, for testing your designs, and for downloading your design to the DE 1 SoC board. To keep things sane, you should create an overall class directory, and then a subdirectory under that when you start each lab. So, you might have an ee271labs directory, and create a lab1 subdirectory for lab #1. Do not reuse the same directory for different labs, since you ll want to refer back to a working design when you develop each new lab. However, when you start each lab after #1, copy the previous directory over as the new directory so that you can reuse many of the files and the setup you did in previous labs.

2 If you are using the lab machines, put your work onto your U: drive (shared across all machines). If you are using your own machine, you can store the files where ever you ll remember them. Get the lab #1 files from the class website, and put them into the subdirectory you just created. These files will help you get started quickly with Quartus. 3. Creating Verilog Files in Quartus In the previous steps we created a directory, and moved in files to set up a Quartus project, which told the tool about the DE1 SoC board we are using. We now need to add some actual circuitry to the project. We will create a simple design of a 2:1 Mux this is a device with two data inputs i0 and i1, and a select input sel. When sel==0 the output is equal to the i0 input, while when sel==1 the output is equal to the i1 input. Start Quartus II by double clicking on the DE1_SoC.qpf file, which is the main Quartus file for this project. Your PC may hide the file extension, so if you just see DE1_SoC, point to it and make sure the pop up information text says QPF File. We now need to create a SystemVerilog file (System Verilog is modern Verilog, with a lot of nice features over previous basic Verilogs. We will use System Verilog exclusively in this class). Go to File>New (or just hit control N), select SystemVerilog HDL File, and hit OK. You will do this whenever you want to create a new Verilog file. The new file is opened up for you in Quartus s text editor in the middle of the tool. Note that the file doesn t have a specific name yet fix that by hitting File>Save As. Then give it the name mux2_1.sv and save the file. Note that in Verilog the filename MUST be the same as the module you are designing, and in this case we are designing a module called mux2_1.

3 You should notice that the title bar for the editor pane has now changed to mux2_1.sv. We now need to put in the circuitry that we are developing. You can type in the following (or just cut n paste it in) to the mux2_1.sv window. module mux2_1(out, i0, i1, sel); output out; input i0, i1, sel; assign out = (i1 & sel) (i0 * ~sel); endmodule module mux2_1_testbench(); reg i0, i1, sel; wire out; mux2_1 dut (.out,.i0,.i1,.sel); initial begin sel=0; i0=0; i1=0; #10; sel=0; i0=0; i1=1; #10; sel=0; i0=1; i1=0; #10; sel=0; i0=1; i1=1; #10; sel=1; i0=0; i1=0; #10; sel=1; i0=0; i1=1; #10; sel=1; i0=1; i1=0; #10; sel=1; i0=1; i1=1; #10; end endmodule This creates the module we are developing ( mux2_1 ), as well as a tester module ( mux2_1_testbench ) that will help us check whether the design is correct.

4 4. Synthesizing a design Now that we have the design created in Quartus, we need to check that it is valid Verilog. First, we need to inform Quartus that the mux2_1 file is the top level of the design as we go through the class we will create designs with many different modules all talking to oneanother, and Quartus needs to know which of the files holds the top level, complete design. Since we have a 1 file circuit this is pretty easy. In the upper left side of Quartus is the Project Navigator. Make sure the Files tab at the bottom of the Project Navigator is selected, and right click on the file mux2_1.sv. Select Set as Top Level Entity. You can now have Quartus check whether the design is at least syntactically correct (i.e. you didn t make any spelling mistakes or the like). Look at the top toolbar for the blue checkmark with the purple triangle and the tiny gate symbol. Press that button, which will start Quartus s Analysis and Synthesis steps. The tool should run for a little while, and then tell you in the message window (near the bottom of Quartus) that Analysis & Synthesis was successful. If it does not, then check your design and any error messages found in the message window you can usually double click

5 on the error message and it will take you to exactly where Quartus thinks the error is. Correct the problems, and re run Analysis & Synthesis. Once Quartus declares success, we know that the file is correct Verilog. However, we don t know whether the design is a proper implementation of the desired functionality. For that, we will simulate the design, which uses the ModelSim simulator to show the actual behavior of our design. 5. Simulating a design In addition to Quartus II, we will be using the ModelSim software, which can simulate Verilog designs for you. To help make using the tool easier, we provide three files on the website to help: Launch_ModelSim.bat: A file to start ModelSim with the correct working directory. runlab.do: A command file for ModelSim that will compile your design, set up the windows for the design, and start simulation. mux2_1_wave.do: A default file that sets up the simulation window properly. You already added these files into the lab1 directory in a previous step. To start ModelSim, double click the Launch_ModelSim.bat file. This should show the blue ModelSim title screen and start ModelSim. If you instead saw a black window flash by and nothing happened, then your ModelSim is installed at a non standard location; edit the Launch_ModelSim.bat file by right clicking the file, and put in the correct path to the Modelsim.exe executable, save the file, and retry starting ModelSim. Once ModelSim is started, we can now simulate our circuit. At the bottom of the window is the Transcript pane. We can issue commands here, and see ModelSim s responses. For mux2_1, we want to use the runlab.do file to compile and run the simulation. To do that, in the transcript pane type do runlab.do and hit enter. Note that hitting <tab> when you have typed do r already will auto complete with the full command.

6 Once you execute the command, ModelSim will simulate the execution of the design, and display the results in the simulation window. Time moves from left (start of simulation) to right (end of simulation), with a green line for each input and output of the design. When the green line is up, it means that signal is true, while if the green line is down it means the signal is false. Note that if you see any red or blue lines it means there is a problem in your Verilog files check that you have done all of the previous steps correctly.

7 6. Navigating the simulation At this point you should have successfully run the simulation, but the waveform window is rather small and hard to see. Let s explore the navigation commands in ModelSim. Click on the waveform window, and look at the toolbars near the top of the ModelSim window. We first want to use the zoom commands: Use the left two commands (+ and magnifying glass) to zoom so that the green waves fill the waveform window. Notice that the scrollbar at the bottom of the waveform window now becomes useful, allowing us to move around in the simulation. The time for each horizontal position is also shown at the bottom of the window. We can also move around in the simulation and see the value of the signals. Look for the cursor, a yellow vertical line in the waveform viewer, with the time in yellow at the bottom.

8 Left click on one of the green lines in the waveform viewer. The cursor moves to that location, and next to each signal name appears a 0 or 1 value. This means that, at the time specified by the cursor, the signals are at those given values. If the out signal says St1 or St0 that s fine just another way to say 1 or 0. Left click in the waveform window at another point on the green waveforms. The cursor will jump to that position, and the Msgs field will update with the values of all signals. This will allow you to move to whatever position is of concern, and look at each signal value. We can also move to points of interest for a given signal. Click on the green waveform for the i1 signal. The i1 label in the leftmost waveform column should become highlighted in white. Play with the six cursor movement commands to see what they will do:

9 These commands will help you quickly move through the simulation, finding situations of interest. Now that we have zoomed in to better display our design, and put a cursor at a point of interest, we will often want to save these setting into a file, so that our next simulation run will return back to this position. To do that, click somewhere in the grey columns of the waveform pane, then select File > Save Format from the toolbar. You should overwrite the file mux2_1_wave.do. In this way, when you rerun simulation, it will have the waveform window set up exactly the way we just left it, though with new simulation results if you changed the Verilog files (i.e. fixed any bugs there are in your design ). Verify this by clicking on the Transcript window and typing do runlab.do now. 7. More complex designs The 2:1 mux design was set up to be a simple, single file design to get you started quickly. But, real designs will have multiple files, and won t have all the scripts set up for you. Let s make a more complex design, and show you how to build new designs, especially how to work with the various ModelSim support files. Make sure you have exited out of both ModelSim and Quartus. We ll now build a 4:1 mux out of the 2:1 muxes. We could go through all the steps above, but why bother? Instead, simply make a copy of the lab1 directory, and call it lab1a. So you should now have an ee271labs directory with both a lab1 and lab1a subfolder. In this way we can use the lab1 directory as a template, without overwriting all of our old work. Go into directory lab1a and double click DE1_SoC.qpf, the Quartus II project file. This starts Quartus in the new directory, with the mux2_1 design already there. We re going to need a new file for our mux4_1, so do File>New and create a SystemVerilog HDL file. Do File>Save As and name it mux4_1.sv. In the file, type or cut n paste the following design for the mux4_1:

10 module mux4_1(out, i00, i01, i10, i11, sel0, sel1); output out; input i00, i01, i10, i11, sel0, sel1; wire v0, v1; mux2_1 m0(.out(v0),.i0(i00),.i1(i01),.sel(sel0)); mux2_1 m1(.out(v1),.i0(i10),.i1(i11),.sel(sel0)); mux2_1 m (.out(out),.i0(v0),.i1(v1),.sel(sel1)); endmodule module mux4_1_testbench(); reg i00, i01, i10, i11, sel0, sel1; wire out; mux4_1 dut (.out,.i00,.i01,.i10,.i11,.sel0,.sel1); integer i; initial begin for(i=0; i<64; i++) begin {sel1, sel0, i00, i01, i10, i11} = i; #10; end end endmodule Notice that this design uses the mux2_1 as a subroutine. Notice also that this file has its own testbench every Verilog module should have a testbench, because the quickest way to get a working design is to test each submodule as you write it. To check that the design is correct, right click on mux4_1.sv and Set as Top level Entity, then run Analysis & Synthesis from the toolbar. If Quartus doesn t say Analysis & Synthesis was successful, fix whatever errors there are. Before we perform simulation, we need to fix the runlab.do file to work for the new design. Outside of Quartus right click on runlab.do in a Windows File Explorer, and open the file (use WordPad, NotePad, or whatever text editor is on your machine). We need to make the following modifications to the file: 1. Add a line to compile the mux4_1.sv file. Duplicate the current line that starts with vlog, and change mux2_1 to mux4_1 in the duplicate. For all Quartus designs, you will have one vlog line for each Verilog file in your design. 2. Change the module being simulated. Edit the line starting vsim to end with mux4_1_testbench, instead of mux2_1_testbench. This tells ModelSim what unit you are testing right now.

11 3. Change the file that contains the waveform setting file. Edit the line starting do to change the mux2 to mux4. Each module will have its own wave.do file, so that during debugging of a large project you can switch between different modules to test. Save the file, start ModelSim via the Launch_ModelSim.bat file in the lab1a directory, and execute do runlab.do. The system should start simulating, show the waveform pane, and then give an error that it cannot open macro file mux4_1_wave.do. That s because we haven t provided the waveform file for you, you need to create it yourself. At the left of ModelSim window is the sim pane, which shows the various modules in the design. mux4_1_testbench is the top level design, and inside that is dut, the name of the mux4_1 module we are testing. Clicking on the plus next to dut shows the three mux2_1 s inside of the mux4_1: m0, m1, and m. If you click on any of the units in the sim pane, the Objects pane next to it shows the signals inside that module.

12 Click on mux4_1_testbench, select all of the signals in the Objects pane except I, and drag them (hold down the left button while moving the mouse) into the waveform pane. This will put all of these signals into the waveform viewer so that you can monitor them. Now save the waveform setup (click on the grey of waveform, select File>Save As, and save it as mux4_1_wave.do). You ve now created the missing file for simulation. Now, click the transcript window, and do runlab.do. You will now get a simulation of the entire design. Look through the waveform view via the zoom and cursor commands we used earlier. Figure out what the mux4_1 actually does. 8. Recap starting a new design & simulating the design In the previous section we created a new mux4_1 design and simulated it. You now have the commands necessary to develop new designs, commands you will use for all future labs. Just to make sure you ve got it, here s a cheat sheet of the steps for all future Verilog designs you ll do in this class. 1. Make a copy of a previous lab directory. This keeps the old design as a reference, but allows you to build off of what you already have. This includes the Quartus Project file and the support files for ModelSim. 2. For each module you need to write, do: a. Create a new file, write the module definition, and write a testbench for that module.

13 b. Set the testbench as the top level module in Quartus. c. Run Analysis and Synthesis and fix any errors it finds. d. Edit the runlab.do file to include the new module. e. Start ModelSim, perform do runlab.do. Fix any errors the compiler finds. f. When it complains about a missing *_wave.do file, set up the waveform window by drag and dropping signals. Save it by File> Save Formatting, then perform do runlab.do again. g. Check the simulation results, correct errors, and iterate until the module works. This process has two major features. First, it has you test EVERY module before you work on the larger modules that call this unit. This will SIGNIFICANTLY simplify the design process. Second, you have a separate _wave.do file for each Verilog file. This keeps a formatted test window for each module, which can help when you discover a fresh bug in a larger design later on. You can always go back and test a submodule by simply editing the runlab.do file to point to the testbench and _wave.do file for the unit you want to test. 9. Mapping a design to the FPGA hardware So far we have developed and tested a design completely in software. Once it is working, it is time to convert that design into a form that can actually be loaded onto the FPGA. Quartus II is responsible for doing these steps. To use the switches, lights, and buttons on the DE1 board, we need to hook up the connections of the circuit design to the proper inputs and outputs of the FPGA. In lab1a, use Quartus to create a new SystemVerilog file called DE1_SoC.sv, with the following contents:

14 // Top-level module that defines the I/Os for the DE-1 SoC board module DE1_SoC (HEX0, HEX1, HEX2, HEX3, HEX4, HEX5, KEY, LEDR, SW); output [6:0] HEX0, HEX1, HEX2, HEX3, HEX4, HEX5; output [9:0] LEDR; input [3:0] KEY; input [9:0] SW; mux2_1 m(.out(ledr[0]),.i0(sw[0]),.i1(sw[1]),.sel(sw[9])); assign HEX0 = '1; assign HEX1 = '1; assign HEX2 = '1; assign HEX3 = '1; assign HEX4 = '1; assign HEX5 = '1; endmodule You should set this file as the Top level Entity. For inputs, the signals KEY[3] KEY[0] are the pushbuttons on the front right of the board, while SW[9] SW[0] are the sliders at the front left. They are labelled on the green printed circuit board. For outputs, the HEX values are the 6 7 segment displays (numeric displays like a digital clock) on the left side, and LEDR[9] LEDR[0] are the red LEDs just above the sliders. In the DE1_SoC module we hook the inputs of a 2:1 mux to slider switches, and show the output on the rightmost LED. We now need to compile the design into a bitfile, a file that can be downloaded to the FPGA. To do that, we press the Start Compilation button just to the left of the Analysis & Synthesis button we have used before: This will run the multiple steps necessary to compile the design. You can watch the progress of the compilation in the Tasks pane in the lower left of Quartus. 10. Configuring the FPGA with the bitfile We now need to actually send the bitfile to the DE1 SoC. Connect the DE 1 SoC to wall power with the power cord. The power cord is black, and it plugs into the black socket Power DC Jack next to the red on/off button:

15 Make sure the board is off (if the board lights up when you plug it in, press the red button). Then plug the provided grey USB cord into the USB Blaster II port of the DE1 SoC, and to a USB port of the computer you are using to run Quartus II. You can then turn on the DE1 SoC. In Quartus, go to File > Open. In the Files of type box at bottom, select Programming Files (*.cdf. Double click on ProgramTheDE1_SoC.cdf. This will bring up the Programmer dialog box. If the Start button is active, click start and the DE1 board will be programmed you re done!

16 If the Start button is greyed out, you need to first run click the Hardware Setup button. This will bring up the Hardware Setup dialog box. Set Currently selected hardware to DE SoC, and close the dialog box. Now press the Start button on the Programmer dialog box, and this will program the FPGA. Note that when you are developing a design, you can keep the programmer open so that you can download the design multiple times, including after changing the input files and recompiling the design. 11. Appendix A: Files in the default project As part of this tutorial we have you copy a set of files into your lab1 directory, and after that they are copied into each subsequent project. For those who are interested, here are what each of those files does:

17 Filename Purpose DE1_SoC.qpf Quartus project file. Top level that groups all the information together. Preconfigured for the DE1 SoC board. DE1_SoC.qsf Sets up the pin assignments, which connects the signals of the user design to specific pins on the FPGA. DE1_SoC.sdc Tells Quartus about the timing of various signals. DE1_SoC.srf Tells Quartus to not print some useless warning messages. Launch_Modelsim.bat Simple batch file starts ModelSim in the current directory. mux2_1_wave.do Sets up the waveform viewer for the first design. ProgramTheDE1_SoC.cdf Programmer file, tells Quartus how to download designs to the DE1. runlab.do ModelSim.do file compiles and simulates the design.

Quartus II Version 14.0 Tutorial Created September 10, 2014; Last Updated January 9, 2017

Quartus II Version 14.0 Tutorial Created September 10, 2014; Last Updated January 9, 2017 Quartus II Version 14.0 Tutorial Created September 10, 2014; Last Updated January 9, 2017 This tutorial will walk you through the process of developing circuit designs within Quartus II, simulating with

More information

Quartus II Tutorial. September 10, 2014 Quartus II Version 14.0

Quartus II Tutorial. September 10, 2014 Quartus II Version 14.0 Quartus II Tutorial September 10, 2014 Quartus II Version 14.0 This tutorial will walk you through the process of developing circuit designs within Quartus II, simulating with Modelsim, and downloading

More information

E85: Digital Design and Computer Engineering Lab 2: FPGA Tools and Combinatorial Logic Design

E85: Digital Design and Computer Engineering Lab 2: FPGA Tools and Combinatorial Logic Design E85: Digital Design and Computer Engineering Lab 2: FPGA Tools and Combinatorial Logic Design Objective The purpose of this lab is to learn to use Field Programmable Gate Array (FPGA) tools to simulate

More information

CPEN 230L: Introduction to Digital Logic Laboratory Lab #6: Verilog and ModelSim

CPEN 230L: Introduction to Digital Logic Laboratory Lab #6: Verilog and ModelSim CPEN 230L: Introduction to Digital Logic Laboratory Lab #6: Verilog and ModelSim Purpose Define logic expressions in Verilog using register transfer level (RTL) and structural models. Use Quartus II to

More information

NOTE: This tutorial contains many large illustrations. Page breaks have been added to keep images on the same page as the step that they represent.

NOTE: This tutorial contains many large illustrations. Page breaks have been added to keep images on the same page as the step that they represent. CSE 352 Tutorial # 4 Synthesizing onto an FPGA Objectives This tutorial will walk you through the steps of implementing a design made in Active-HDL onto the Altera Cyclone II FPGA NOTE: This tutorial contains

More information

Quick Tutorial for Quartus II & ModelSim Altera

Quick Tutorial for Quartus II & ModelSim Altera Quick Tutorial for Quartus II & ModelSim Altera By Ziqiang Patrick Huang Hudson 213c Ziqiang.huang@duke.edu Download & Installation For Windows or Linux users : Download Quartus II Web Edition v13.0 (ModelSim

More information

Tutorial on Quartus II Introduction Using Verilog Code

Tutorial on Quartus II Introduction Using Verilog Code Tutorial on Quartus II Introduction Using Verilog Code (Version 15) 1 Introduction This tutorial presents an introduction to the Quartus II CAD system. It gives a general overview of a typical CAD flow

More information

ECE 3610 Microprocessing Systems Lab #1 Verilog Design of the TOC Using Quartus II

ECE 3610 Microprocessing Systems Lab #1 Verilog Design of the TOC Using Quartus II ECE 3610 Microprocessing Systems Lab #1 Verilog Design of the TOC Using Quartus II This lab manual presents an introduction to the Quartus II Computer Aided Design (CAD) system. This manual gives step-by-step

More information

University of California, Davis Department of Electrical and Computer Engineering. Lab 1: Implementing Combinational Logic in the MAX10 FPGA

University of California, Davis Department of Electrical and Computer Engineering. Lab 1: Implementing Combinational Logic in the MAX10 FPGA 1 University of California, Davis Department of Electrical and Computer Engineering EEC180B DIGITAL SYSTEMS II Winter Quarter 2018 Lab 1: Implementing Combinational Logic in the MAX10 FPGA Objective: This

More information

UNIVERSITY OF CALIFORNIA, DAVIS Department of Electrical and Computer Engineering. EEC180A DIGITAL SYSTEMS I Winter 2015

UNIVERSITY OF CALIFORNIA, DAVIS Department of Electrical and Computer Engineering. EEC180A DIGITAL SYSTEMS I Winter 2015 UNIVERSITY OF CALIFORNIA, DAVIS Department of Electrical and Computer Engineering EEC180A DIGITAL SYSTEMS I Winter 2015 LAB 1: Introduction to Quartus II Schematic Capture and ModelSim Simulation This

More information

Digital Design and Computer Architecture

Digital Design and Computer Architecture Digital Design and Computer Architecture Introduction Lab 4: Thunderbird Turn Signal In this lab, you will design a finite state machine in SystemVerilog to control the taillights of a 1965 Ford Thunderbird

More information

FPGA Introductory Tutorial: Part 1

FPGA Introductory Tutorial: Part 1 FPGA Introductory Tutorial: Part 1 This tutorial is designed to assist in learning the basics of the Altera Quartus II v9.0 software. Part 1 of the tutorial will cover the basics of creating a Project,

More information

CSE P567 - Winter 2010 Lab 1 Introduction to FGPA CAD Tools

CSE P567 - Winter 2010 Lab 1 Introduction to FGPA CAD Tools CSE P567 - Winter 2010 Lab 1 Introduction to FGPA CAD Tools This is a tutorial introduction to the process of designing circuits using a set of modern design tools. While the tools we will be using (Altera

More information

Introduction. About this tutorial. How to use this tutorial

Introduction. About this tutorial. How to use this tutorial Basic Entry & not About this tutorial This tutorial consists of an introduction to creating simple circuits on an FPGA using a variety of methods. There are two ways to create the circuit: using or by

More information

Contents. Appendix B HDL Entry Tutorial 2 Page 1 of 14

Contents. Appendix B HDL Entry Tutorial 2 Page 1 of 14 Appendix B HDL Entry Tutorial 2 Page 1 of 14 Contents Appendix B HDL Entry Tutorial 2...2 B.1 Getting Started...2 B.1.1 Preparing a Folder for the Project...2 B.1.2 Starting Quartus II...2 B.1.3 Creating

More information

CSEE W4840 Embedded System Design Lab 1

CSEE W4840 Embedded System Design Lab 1 CSEE W4840 Embedded System Design Lab 1 Stephen A. Edwards Due January 31, 2008 Abstract Learn to use the Altera Quartus development envrionment and the DE2 boards by implementing a small hardware design

More information

1. Introduction EE108A. Lab 1: Combinational Logic: Extension of the Tic Tac Toe Game

1. Introduction EE108A. Lab 1: Combinational Logic: Extension of the Tic Tac Toe Game EE108A Lab 1: Combinational Logic: Extension of the Tic Tac Toe Game 1. Introduction Objective This lab is designed to familiarize you with the process of designing, verifying, and implementing a combinational

More information

Using Synplify Pro, ISE and ModelSim

Using Synplify Pro, ISE and ModelSim Using Synplify Pro, ISE and ModelSim VLSI Systems on Chip ET4 351 Rene van Leuken Huib Lincklaen Arriëns Rev. 1.2 The EDA programs that will be used are: For RTL synthesis: Synplicity Synplify Pro For

More information

EE183 LAB TUTORIAL. Introduction. Projects. Design Entry

EE183 LAB TUTORIAL. Introduction. Projects. Design Entry EE183 LAB TUTORIAL Introduction You will be using several CAD tools to implement your designs in EE183. The purpose of this lab tutorial is to introduce you to the tools that you will be using, Xilinx

More information

2 nd Year Laboratory. Experiment: FPGA Design with Verilog. Department of Electrical & Electronic Engineering. Imperial College London.

2 nd Year Laboratory. Experiment: FPGA Design with Verilog. Department of Electrical & Electronic Engineering. Imperial College London. Department of Electrical & Electronic Engineering 2 nd Year Laboratory Experiment: FPGA Design with Verilog Objectives By the end of this experiment, you should know: How to design digital circuits using

More information

Tutorial on Quartus II Introduction Using Schematic Designs

Tutorial on Quartus II Introduction Using Schematic Designs Tutorial on Quartus II Introduction Using Schematic Designs (Version 15) 1 Introduction This tutorial presents an introduction to the Quartus II CAD system. It gives a general overview of a typical CAD

More information

EMT1250 LABORATORY EXPERIMENT. EXPERIMENT # 6: Quartus II Tutorial and Practice. Name: Date:

EMT1250 LABORATORY EXPERIMENT. EXPERIMENT # 6: Quartus II Tutorial and Practice. Name: Date: EXPERIMENT # 6: Quartus II Tutorial and Practice Name: Date: Equipment/Parts Needed: Quartus II R Web Edition V9.1 SP2 software by Altera Corporation USB drive to save your files Objective: Learn how to

More information

SignalTap II with Verilog Designs. 1 Introduction. For Quartus II 13.1

SignalTap II with Verilog Designs. 1 Introduction. For Quartus II 13.1 SignalTap II with Verilog Designs For Quartus II 13.1 1 Introduction This tutorial explains how to use the SignalTap II feature within Altera s Quartus II software. The SignalTap II Embedded Logic Analyzer

More information

Tutorial: Working with the Xilinx tools 14.4

Tutorial: Working with the Xilinx tools 14.4 Tutorial: Working with the Xilinx tools 14.4 This tutorial will show you how to: Part I: Set up a new project in ISE Part II: Implement a function using Schematics Part III: Implement a function using

More information

University of Florida EEL 3701 Dr. Eric M. Schwartz Madison Emas, TA Department of Electrical & Computer Engineering Revision 1 5-Jun-17

University of Florida EEL 3701 Dr. Eric M. Schwartz Madison Emas, TA Department of Electrical & Computer Engineering Revision 1 5-Jun-17 Page 1/14 Example Problem Given the logic equation Y = A*/B + /C, implement this equation using a two input AND gate, a two input OR gate and two inverters under the Quartus environment. Upon completion

More information

Chapter 2 Getting Hands on Altera Quartus II Software

Chapter 2 Getting Hands on Altera Quartus II Software Chapter 2 Getting Hands on Altera Quartus II Software Contents 2.1 Installation of Software... 20 2.2 Setting Up of License... 21 2.3 Creation of First Embedded System Project... 22 2.4 Project Building

More information

Name EGR 2131 Lab #6 Number Representation and Arithmetic Circuits

Name EGR 2131 Lab #6 Number Representation and Arithmetic Circuits Name EGR 2131 Lab #6 Number Representation and Arithmetic Circuits Equipment and Components Quartus software and Altera DE2-115 board PART 1: Number Representation in Microsoft Calculator. First, let s

More information

Actel Libero TM Integrated Design Environment v2.3 Structural Schematic Flow Design Tutorial

Actel Libero TM Integrated Design Environment v2.3 Structural Schematic Flow Design Tutorial Actel Libero TM Integrated Design Environment v2.3 Structural Schematic Flow Design Tutorial 1 Table of Contents Design Flow in Libero TM IDE v2.3 Step 1 - Design Creation 3 Step 2 - Design Verification

More information

Intro to Digital Logic, Lab 5 Sequential Logic. Lab Objectives. Assigned Task Mapping sequential logic to the FPGA

Intro to Digital Logic, Lab 5 Sequential Logic. Lab Objectives. Assigned Task Mapping sequential logic to the FPGA Intro to Digital Logic, Lab 5 Sequential Logic Lab Objectives Now that we have mastered combinational logic, it is time to figure out sequential circuits. In this lab you will download a premade design

More information

EE108a Lab 0: Introduction to Verilog and the EE108a tool chain, version 1.01

EE108a Lab 0: Introduction to Verilog and the EE108a tool chain, version 1.01 Introduction Welcome to EE108a Lab 0. This lab is designed to familiarize you with Verilog and the tools we ll be using in EE108a. The lab is a step-by-step walkthrough which will take you from the initial

More information

Lab 2 EECE473 Computer Organization & Architecture University of Maine

Lab 2 EECE473 Computer Organization & Architecture University of Maine Lab 2: Verilog Programming Instructor: Yifeng Zhu 50 Points Objectives: 1. Quatus II Programming assignment: PIN assignments, LEDs, switches; 2. Download and test the design on Altera DE2 board 3. Create

More information

EXPERIMENT 1. INTRODUCTION TO ALTERA

EXPERIMENT 1. INTRODUCTION TO ALTERA EXPERIMENT 1. INTRODUCTION TO ALTERA I. Introduction I.I Objectives In this experiment, you will learn computer aided digital design and verification of it using Field Programmable Gate Arrays (FPGA).

More information

Verilog Design Entry, Synthesis, and Behavioral Simulation

Verilog Design Entry, Synthesis, and Behavioral Simulation ------------------------------------------------------------- PURPOSE - This lab will present a brief overview of a typical design flow and then will start to walk you through some typical tasks and familiarize

More information

University of Florida EEL 3701 Dr. Eric M. Schwartz Department of Electrical & Computer Engineering Revision 0 12-Jun-16

University of Florida EEL 3701 Dr. Eric M. Schwartz Department of Electrical & Computer Engineering Revision 0 12-Jun-16 Page 1/14 Quartus Tutorial with Basic Graphical Gate Entry and Simulation Example Problem Given the logic equation Y = A*/B + /C, implement this equation using a two input AND gate, a two input OR gate

More information

Quartus II Introduction Using Verilog Design

Quartus II Introduction Using Verilog Design Quartus II Introduction Using Verilog Design This tutorial presents an introduction to the Quartus R II CAD system. It gives a general overview of a typical CAD flow for designing circuits that are implemented

More information

and 32 bit for 32 bit. If you don t pay attention to this, there will be unexpected behavior in the ISE software and thing may not work properly!

and 32 bit for 32 bit. If you don t pay attention to this, there will be unexpected behavior in the ISE software and thing may not work properly! This tutorial will show you how to: Part I: Set up a new project in ISE 14.7 Part II: Implement a function using Schematics Part III: Simulate the schematic circuit using ISim Part IV: Constraint, Synthesize,

More information

ECSE-323 Digital System Design. Lab #1 Using the Altera Quartus II Software Fall 2008

ECSE-323 Digital System Design. Lab #1 Using the Altera Quartus II Software Fall 2008 1 ECSE-323 Digital System Design Lab #1 Using the Altera Quartus II Software Fall 2008 2 Introduction. In this lab you will learn the basics of the Altera Quartus II FPGA design software through following

More information

Altera Quartus II Tutorial ECE 552

Altera Quartus II Tutorial ECE 552 Altera Quartus II Tutorial ECE 552 Quartus II by Altera is a PLD Design Software which is suitable for high-density Field-Programmable Gate Array (FPGA) designs, low-cost FPGA designs, and Complex Programmable

More information

Introduction to Computer Engineering (E114)

Introduction to Computer Engineering (E114) Introduction to Computer Engineering (E114) Lab 1: Full Adder Introduction In this lab you will design a simple digital circuit called a full adder. You will then use logic gates to draw a schematic for

More information

CPEN 230L: Introduction to Digital Logic Laboratory Lab 7: Multiplexers, Decoders, and Seven Segment Displays

CPEN 230L: Introduction to Digital Logic Laboratory Lab 7: Multiplexers, Decoders, and Seven Segment Displays CPEN 230L: Introduction to Digital Logic Laboratory Lab 7: Multiplexers, Decoders, and Seven Segment Displays Purpose Learn about multiplexers (MUXs), decoders and seven segment displays. Learn about hierarchical

More information

JEE2600 INTRODUCTION TO DIGITAL LOGIC AND COMPUTER DESIGN. ModelSim Tutorial. Prepared by: Phil Beck 9/8/2008. Voter Function

JEE2600 INTRODUCTION TO DIGITAL LOGIC AND COMPUTER DESIGN. ModelSim Tutorial. Prepared by: Phil Beck 9/8/2008. Voter Function JEE2600 INTRODUCTION TO DIGITAL LOGIC AND COMPUTER DESIGN ModelSim Tutorial Prepared by: Phil Beck 9/8/2008 Vote 1 Vote 2 Voter Function Pass Vote 3 Pass is only a 1 when two or more of the Vote inputs

More information

Using Project Navigator

Using Project Navigator UNIVERSITY OF CALIFORNIA AT BERKELEY COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRICAL ENGINEERING AND COMPUTER SCIENCE Overview Xilinx Project Navigator is an Integrated Development Environment for digital

More information

NIOS CPU Based Embedded Computer System on Programmable Chip

NIOS CPU Based Embedded Computer System on Programmable Chip 1 Objectives NIOS CPU Based Embedded Computer System on Programmable Chip EE8205: Embedded Computer Systems This lab has been constructed to introduce the development of dedicated embedded system based

More information

CECS LAB 1 Introduction to Xilinx EDA Tools

CECS LAB 1 Introduction to Xilinx EDA Tools NAME: DUE DATE: STUDENT ID: POSSIBLE POINTS: 10 COURSE DATE & TIME: OBJECTIVE: To familiarize ourselves with the Xilinx Electronic Design Aid (EDA) Tools. We will simulate a simple 4-to-1 Multiplexor using

More information

ENSC 350 ModelSim Altera Tutorial

ENSC 350 ModelSim Altera Tutorial ENSC 350 ModelSim Altera Tutorial This is a quick guide get you started with the ModelSim Altera simulator. ModelSim is only a functional verification tool so you will also have to use Quartus II to complete

More information

QUARTUS II Altera Corporation

QUARTUS II Altera Corporation QUARTUS II Quartus II Design Flow Design Entry Timing Constraints Synthesis Placement and Routing Timing, Area, Power Optimization Timing and Power Analyzer Optimized Design 2 Can I still use a Processor?

More information

EE261 Computer Project 1: Using Mentor Graphics for Digital Simulation

EE261 Computer Project 1: Using Mentor Graphics for Digital Simulation EE261 Computer Project 1: Using Mentor Graphics for Digital Simulation Introduction In this project, you will begin to explore the digital simulation tools of the Mentor Graphics package available on the

More information

Engineering 303 Digital Logic Design Spring 2017

Engineering 303 Digital Logic Design Spring 2017 Engineering 303 Digital Logic Design Spring 2017 LAB 1 Introduction to Combo Logic and Quartus Deliverables: 0) A Simple Verilog Combinatorial Circuit 1) A Simple Block Diagram Combinatorial Circuit 2)

More information

EE 367 Logic Design Lab #1 Introduction to Xilinx ISE and the ML40X Eval Board Date: 1/21/09 Due: 1/28/09

EE 367 Logic Design Lab #1 Introduction to Xilinx ISE and the ML40X Eval Board Date: 1/21/09 Due: 1/28/09 EE 367 Logic Design Lab #1 Introduction to Xilinx ISE and the ML40X Eval Board Date: 1/21/09 Due: 1/28/09 Lab Description Today s lab will introduce you to the Xilinx Integrated Software Environment (ISE)

More information

University of California, Davis Department of Electrical and Computer Engineering. EEC180B DIGITAL SYSTEMS Spring Quarter 2018

University of California, Davis Department of Electrical and Computer Engineering. EEC180B DIGITAL SYSTEMS Spring Quarter 2018 University of California, Davis Department of Electrical and Computer Engineering EEC180B DIGITAL SYSTEMS Spring Quarter 2018 LAB 2: FPGA Synthesis and Combinational Logic Design Objective: This lab covers

More information

EE 5327 VLSI Design Laboratory Lab 8 (1 week) Formal Verification

EE 5327 VLSI Design Laboratory Lab 8 (1 week) Formal Verification EE 5327 VLSI Design Laboratory Lab 8 (1 week) Formal Verification PURPOSE: To use Formality and its formal techniques to prove or disprove the functional equivalence of two designs. Formality can be used

More information

Vivado Tutorial. Introduction. Objectives. Procedure. Lab Workbook. Vivado Tutorial

Vivado Tutorial. Introduction. Objectives. Procedure. Lab Workbook. Vivado Tutorial Lab Workbook Introduction This tutorial guides you through the design flow using Xilinx Vivado software to create a simple digital circuit using Verilog HDL. A typical design flow consists of creating

More information

ELEC 4200 Lab#0 Tutorial

ELEC 4200 Lab#0 Tutorial 1 ELEC 4200 Lab#0 Tutorial Objectives(1) In this Lab exercise, we will design and implement a 2-to-1 multiplexer (MUX), using Xilinx Vivado tools to create a VHDL model of the design, verify the model,

More information

Lab 1: FPGA Physical Layout

Lab 1: FPGA Physical Layout Lab 1: FPGA Physical Layout University of California, Berkeley Department of Electrical Engineering and Computer Sciences EECS150 Components and Design Techniques for Digital Systems John Wawrzynek, James

More information

Xilinx Tutorial Basic Walk-through

Xilinx Tutorial Basic Walk-through Introduction to Digital Logic Design with FPGA s: Digital logic circuits form the basis of all digital electronic devices. FPGAs (Field Programmable Gate Array) are large programmable digital electronic

More information

E85: Digital Design and Computer Architecture J. Spjut and R. Wang Spring 2014

E85: Digital Design and Computer Architecture J. Spjut and R. Wang Spring 2014 E85: Digital Design and Computer Architecture J. Spjut and R. Wang Spring 2014 Lab 1: Full Adder Introduction In this lab you will design a simple digital circuit called a full adder. Along the way, you

More information

EE 231 Fall Lab 1: Introduction to Verilog HDL and Altera IDE

EE 231 Fall Lab 1: Introduction to Verilog HDL and Altera IDE Lab 1: Introduction to Verilog HDL and Altera IDE Introduction In this lab you will design simple circuits by programming the Field-Programmable Gate Array (FPGA). At the end of the lab you should be able

More information

DOWNLOADING DESIGNS TO THE ALTERA DE10-LITE FPGA

DOWNLOADING DESIGNS TO THE ALTERA DE10-LITE FPGA DOWNLOADING DESIGNS TO THE ALTERA DE10-LITE FPGA Consider the design of a three-bit prime number detector completed in the MSOE schematic entry tutorial. Figure 1 shows the block diagram and truth table.

More information

Xilinx ISE Synthesis Tutorial

Xilinx ISE Synthesis Tutorial Xilinx ISE Synthesis Tutorial The following tutorial provides a basic description of how to use Xilinx ISE to create a simple 2-input AND gate and synthesize the design onto the Spartan-3E Starter Board

More information

SCHEMATIC DESIGN IN QUARTUS

SCHEMATIC DESIGN IN QUARTUS SCHEMATIC DESIGN IN QUARTUS Consider the design of a three-bit prime number detector. Figure 1 shows the block diagram and truth table. The inputs are binary signals A, B, and C while the output is binary

More information

The Alarm System: The alarm system to be designed has the following inputs.

The Alarm System: The alarm system to be designed has the following inputs. 1 Introduction In this lab you will use the Xilinx CAD tools to complete the design of a simple home alarm system containing sensors for that indicate whether the Windows, Door, and Garage are secure.

More information

Vivado Walkthrough ECGR Fall 2015

Vivado Walkthrough ECGR Fall 2015 ECGR 2181 - Vivado Walkthrough 1 Vivado Walkthrough ECGR 2181 - Fall 2015 Intro In this walkthrough we re going to go through the process of creating a project, adding sources, writing vhdl, simulating

More information

CPE 200L LABORATORY 4: INTRODUCTION TO DE2 BOARD UNIVERSITY OF NEVADA, LAS VEGAS GOALS: BACKGROUND:

CPE 200L LABORATORY 4: INTRODUCTION TO DE2 BOARD UNIVERSITY OF NEVADA, LAS VEGAS GOALS: BACKGROUND: CPE 200L LABORATORY 4: INTRODUCTION TO DE2 BOARD DEPARTMENT OF ELECTRICAL AND COMPUTER ENGINEERING UNIVERSITY OF NEVADA, LAS VEGAS GOALS: Getting familiar with DE2 board installation, properties, usage.

More information

Part 1. Creating an Array of Controls or Indicators

Part 1. Creating an Array of Controls or Indicators NAME EET 2259 Lab 9 Arrays OBJECTIVES -Write LabVIEW programs using arrays. Part 1. Creating an Array of Controls or Indicators Here are the steps you follow to create an array of indicators or controls

More information

PRELAB! Read the entire lab, and complete the prelab questions (Q1- Q3) on the answer sheet before coming to the laboratory.

PRELAB! Read the entire lab, and complete the prelab questions (Q1- Q3) on the answer sheet before coming to the laboratory. PRELAB! Read the entire lab, and complete the prelab questions (Q1- Q3) on the answer sheet before coming to the laboratory. 1.0 Objectives In this lab you will get familiar with the concept of using the

More information

Tutorial: ISE 12.2 and the Spartan3e Board v August 2010

Tutorial: ISE 12.2 and the Spartan3e Board v August 2010 Tutorial: ISE 12.2 and the Spartan3e Board v12.2.1 August 2010 This tutorial will show you how to: Use a combination of schematics and Verilog to specify a design Simulate that design Define pin constraints

More information

Tutorial for Altera DE1 and Quartus II

Tutorial for Altera DE1 and Quartus II Tutorial for Altera DE1 and Quartus II Qin-Zhong Ye December, 2013 This tutorial teaches you the basic steps to use Quartus II version 13.0 to program Altera s FPGA, Cyclone II EP2C20 on the Development

More information

EECS 470 Lab 1 Assignment

EECS 470 Lab 1 Assignment Note: The lab should be completed individually. EECS 470 Lab 1 Assignment The lab must be checked off by a GSI before lab on Thursday, 13 th September, 2018. 1 Linux Introduction and Setup The work in

More information

Quartus II Introduction Using Verilog Designs. 1 Introduction. For Quartus II 12.0

Quartus II Introduction Using Verilog Designs. 1 Introduction. For Quartus II 12.0 Quartus II Introduction Using Verilog Designs For Quartus II 12.0 1 Introduction This tutorial presents an introduction to the Quartus II CAD system. It gives a general overview of a typical CAD flow for

More information

Quartus II Introduction Using Schematic Design

Quartus II Introduction Using Schematic Design Quartus II Introduction Using Schematic Design This tutorial presents an introduction to the Quartus R II CAD system. It gives a general overview of a typical CAD flow for designing circuits that are implemented

More information

CSEE W4840 Embedded System Design Lab 1

CSEE W4840 Embedded System Design Lab 1 CSEE W4840 Embedded System Design Lab 1 Stephen A. Edwards Due February 2, 2009 Abstract Learn to use the Altera Quartus development envrionment and the DE2 boards by implementing a small hardware design

More information

Start Active-HDL. Create a new workspace TUTORIAL #1 CREATING AND SIMULATING SIMPLE SCHEMATICS

Start Active-HDL. Create a new workspace TUTORIAL #1 CREATING AND SIMULATING SIMPLE SCHEMATICS Introduction to Active-HDL TUTORIAL #1 CREATING AND SIMULATING SIMPLE SCHEMATICS This tutorial will introduce the tools and techniques necessary to design a basic schematic. The goal of this tutorial is

More information

Xilinx ISE8.1 and Spartan-3 Tutorial (Prepared by Kahraman Akdemir based on Professor Duckworth's Tutorials updated September 2006)

Xilinx ISE8.1 and Spartan-3 Tutorial (Prepared by Kahraman Akdemir based on Professor Duckworth's Tutorials updated September 2006) Xilinx ISE8.1 and Spartan-3 Tutorial (Prepared by Kahraman Akdemir based on Professor Duckworth's Tutorials updated September 2006) 1 Part1) Starting a new project Simple 3-to-8 Decoder Start the Xilinx

More information

INTRODUCTION TO DE2 SYSTEM INTERFACES

INTRODUCTION TO DE2 SYSTEM INTERFACES EECS:6660:0xxField Programmable Gate Arrays s08l5a.fm - 1 Lab Assignment #5 INTRODUCTION TO DE2 SYSTEM INTERFACES 1. OBJECTIVES - Becoming familiar with the system interface to seven segment LED displays

More information

CS152 FPGA CAD Tool Flow University of California at Berkeley College of Engineering Department of Electrical Engineering and Computer Sciences

CS152 FPGA CAD Tool Flow University of California at Berkeley College of Engineering Department of Electrical Engineering and Computer Sciences CS152 FPGA CAD Tool Flow University of California at Berkeley College of Engineering Department of Electrical Engineering and Computer Sciences Compiled: 4/3/2003 for CS152 Spring 03, Prof. John Kubiatowicz

More information

Lab 2: Introduction to Verilog HDL and Quartus

Lab 2: Introduction to Verilog HDL and Quartus Lab 2: Introduction to Verilog HDL and Quartus September 16, 2008 In the previous lab you designed simple circuits using discrete chips. In this lab you will do the same but by programming the CPLD. At

More information

Tutorial 2 Implementing Circuits in Altera Devices

Tutorial 2 Implementing Circuits in Altera Devices Appendix C Tutorial 2 Implementing Circuits in Altera Devices In this tutorial we describe how to use the physical design tools in Quartus II. In addition to the modules used in Tutorial 1, the following

More information

Experiment VERI: FPGA Design with Verilog (Part 2) (webpage: /)

Experiment VERI: FPGA Design with Verilog (Part 2) (webpage:   /) Department of Electrical & Electronic Engineering 2 nd Year Laboratory Experiment VERI: FPGA Design with Verilog (Part 2) (webpage: www.ee.ic.ac.uk/pcheung/teaching/e2_experiment /) 1.0 Learning Outcomes

More information

Let s Make a Front Panel using FrontCAD

Let s Make a Front Panel using FrontCAD Let s Make a Front Panel using FrontCAD By Jim Patchell FrontCad is meant to be a simple, easy to use CAD program for creating front panel designs and artwork. It is a free, open source program, with the

More information

Xilinx ChipScope ICON/VIO/ILA Tutorial

Xilinx ChipScope ICON/VIO/ILA Tutorial Xilinx ChipScope ICON/VIO/ILA Tutorial The Xilinx ChipScope tools package has several modules that you can add to your Verilog design to capture input and output directly from the FPGA hardware. These

More information

Xilinx ISE/WebPack: Introduction to Schematic Capture and Simulation

Xilinx ISE/WebPack: Introduction to Schematic Capture and Simulation Xilinx ISE/WebPack: Introduction to Schematic Capture and Simulation Revision: February 7, 2003 Overview This document is intended to assist new entry-level users of the Xilinx ISE/WebPack software. It

More information

ENGR 3410: MP #1 MIPS 32-bit Register File

ENGR 3410: MP #1 MIPS 32-bit Register File ENGR 3410: MP #1 MIPS 32-bit Register File Due: Before class, September 23rd, 2008 1 Introduction The purpose of this machine problem is to create the first large component of our MIPS-style microprocessor

More information

5 January ModelSim v5.7 Quick Reference Guide

5 January ModelSim v5.7 Quick Reference Guide 5 January 2004 ModelSim v5.7 Quick Reference Guide Author: David M. Sendek Background: This guide provides you with step-by-step procedures in using ModelSim to compile, link and simulate your VHDL or

More information

TUTORIAL #2 HIERARCHICAL DESIGNS AND TEST FIXTURES

TUTORIAL #2 HIERARCHICAL DESIGNS AND TEST FIXTURES Introduction to Active-HDL TUTORIAL #2 HIERARCHICAL DESIGNS AND TEST FIXTURES This tutorial will use the 1-bit full adder you designed in Tutorial #1 to construct larger adders. This will introduce the

More information

Design Flow Tutorial

Design Flow Tutorial Digital Design LU Design Flow Tutorial Jakob Lechner, Thomas Polzer {lechner, tpolzer}@ecs.tuwien.ac.at Department of Computer Engineering University of Technology Vienna Vienna, October 8, 2010 Contents

More information

Introduction to Simulation of VHDL Designs Using ModelSim Graphical Waveform Editor. 1 Introduction. For Quartus Prime 16.1

Introduction to Simulation of VHDL Designs Using ModelSim Graphical Waveform Editor. 1 Introduction. For Quartus Prime 16.1 Introduction to Simulation of VHDL Designs Using ModelSim Graphical Waveform Editor For Quartus Prime 16.1 1 Introduction This tutorial provides an introduction to simulation of logic circuits using the

More information

CSEE W4840 Embedded System Design Lab 1

CSEE W4840 Embedded System Design Lab 1 CSEE W4840 Embedded System Design Lab 1 Stephen A. Edwards Due February 3, 2011 Abstract Learn to use the Altera Quartus development envrionment and the DE2 boards by implementing a small hardware design

More information

University of California at Berkeley College of Engineering Department of Electrical Engineering and Computer Science. EECS 150 Spring 2000

University of California at Berkeley College of Engineering Department of Electrical Engineering and Computer Science. EECS 150 Spring 2000 University of California at Berkeley College of Engineering Department of Electrical Engineering and Computer Science EECS 150 Spring 2000 Lab 1 Introduction to Xilinx Design Software 1 Objectives In this

More information

University of Hawaii EE 361L. Getting Started with Spartan 3E Digilent Basys2 Board. Lab 4.1

University of Hawaii EE 361L. Getting Started with Spartan 3E Digilent Basys2 Board. Lab 4.1 University of Hawaii EE 361L Getting Started with Spartan 3E Digilent Basys2 Board Lab 4.1 I. Test Basys2 Board Attach the Basys2 board to the PC or laptop with the USB connector. Make sure the blue jumper

More information

NIOS CPU Based Embedded Computer System on Programmable Chip

NIOS CPU Based Embedded Computer System on Programmable Chip NIOS CPU Based Embedded Computer System on Programmable Chip EE8205: Embedded Computer Systems NIOS-II SoPC: PART-II 1 Introduction This lab has been constructed to introduce the development of dedicated

More information

TLL5000 Electronic System Design Base Module

TLL5000 Electronic System Design Base Module TLL5000 Electronic System Design Base Module The Learning Labs, Inc. Copyright 2007 Manual Revision 2007.12.28 1 Copyright 2007 The Learning Labs, Inc. Copyright Notice The Learning Labs, Inc. ( TLL )

More information

University of California at Berkeley College of Engineering Department of Electrical Engineering and Computer Science

University of California at Berkeley College of Engineering Department of Electrical Engineering and Computer Science University of California at Berkeley College of Engineering Department of Electrical Engineering and Computer Science EECS 150 Fall 2000 Original Lab By: J.Wawrzynek and N. Weaver Edited by B. Choi, R.

More information

NIOS CPU Based Embedded Computer System on Programmable Chip

NIOS CPU Based Embedded Computer System on Programmable Chip NIOS CPU Based Embedded Computer System on Programmable Chip 1 Lab Objectives EE8205: Embedded Computer Systems NIOS-II SoPC: PART-I This lab has been constructed to introduce the development of dedicated

More information

EMT1250 LABORATORY EXPERIMENT. EXPERIMENT # 7: VHDL and DE2 Board. Name: Date:

EMT1250 LABORATORY EXPERIMENT. EXPERIMENT # 7: VHDL and DE2 Board. Name: Date: EXPERIMENT # 7: VHDL and DE2 Board Name: Date: Equipment/Parts Needed: Quartus II R Web Edition V9.1 SP2 software by Altera Corporation USB drive to save your files Objective: Learn how to create and modify

More information

IMPLEMENTING COUNTERS

IMPLEMENTING COUNTERS EECS:6660:0xxField Programmable Gate Arrays s11l1_fpga.fm - 1 Lab Assignment #1 Due Thursday, March 31 2011 IMPLEMENTING COUNTERS 1. OBJECTIVES - learning the VHDL implementation process using Language

More information

The development board used in this class is ALTERA s DE The board provides the following hardware:

The development board used in this class is ALTERA s DE The board provides the following hardware: Lab 1 The goal of this lab is to get familiar with the mechanics of designing digital systems using VHDL and ALTERA s FPGAs. The development board used in this class is ALTERA s DE2-115. The board provides

More information

Step 1: Downloading the source files

Step 1: Downloading the source files Introduction: In this lab and in the remainder of the ELEC 2607 labs, you will be using the Xilinx ISE to enter and simulate the designs for your circuits. In labs 3 and 4, you will use ISE to compile

More information

Xilinx ISE8.1 and Spartan-3 Tutorial EE3810

Xilinx ISE8.1 and Spartan-3 Tutorial EE3810 Xilinx ISE8.1 and Spartan-3 Tutorial EE3810 1 Part1) Starting a new project Simple 3-to-8 Decoder Start the Xilinx ISE 8.1i Project Navigator: Select File > New Project in the opened window 2 Select a

More information

Generating Parameterized Modules and IP Cores

Generating Parameterized Modules and IP Cores Generating Parameterized Modules and IP Cores Table of Contents...3 Module 1: Verilog HDL Design with LPMs Using the Module/IP Manager...4 Task 1: Create a New Project...5 Task 2: Target a Device...7 Task

More information

Laboratory Exercise 3 Comparative Analysis of Hardware and Emulation Forms of Signed 32-Bit Multiplication

Laboratory Exercise 3 Comparative Analysis of Hardware and Emulation Forms of Signed 32-Bit Multiplication Laboratory Exercise 3 Comparative Analysis of Hardware and Emulation Forms of Signed 32-Bit Multiplication Introduction All processors offer some form of instructions to add, subtract, and manipulate data.

More information