Low Power DRAM Evolution

Size: px
Start display at page:

Download "Low Power DRAM Evolution"

Transcription

1 Low Power DRAM Evolution Osamu Nagashima Executive Professional Micron Memory Japan JEDEC Mobile & IOT Forum Copyright 2016 Micron Technology, Inc

2 How We Got Here Low Power DRAM evolved from a lowervoltage, lower-performance version of PC-DRAM designed for mobile packages to become one of the highest bandwidth-per-pin DRAMs available High resolution displays, high-resolution cameras, and 3D rendered content are the primary drivers for increased bandwidth in mobile devices

3 Mainstream DRAM Datarate by Type and Year of Introduction LPDDR PC-DDR

4 Evolution of Mainstream DRAM Energy Power Evolution DDR2 DDR3 DDR4 LPDDR2 LPDDR3 LPDDR4 ENERGY, PJ/BIT DATA RATE, MBPS

5 Typical Mobile Device Usage The percentage of active usage has greatly increased in recent years, driving an increase in memory bandwidth This has shifted limitations from standby battery life to active battery life and thermal limits 100% 80% 60% 40% 20% 0% 2% 8% 4% 4% 20% 20% 24% 22% 13% 12% 37% 34% Heavy user Light user Read Energy Write Energy Activate Energy Standby Energy Refresh Energy Self Refresh Energy

6 Near-Term Future This evolution of system limitations is driving future LPDRAM architectures, beginning with the evolution of the LPDDR4 standard Responding to the need for lower power, JEDEC is developing a reduced-i/o power version of LPDDR4, called LPDDR4X LPDDR4X will reduce the Vddq level from 1.1v to 0.6v Signaling swing will remain similar to LPDDR4 This allows the same receiver designs and specifications to be used for both LPDDR4 and LPDDR4X

7 LPDDR4X: I/O Energy Reduction Reducing Vddq from 1.1v to 0.6v produces about 40% I/O energy savings 4 LPDDR4 vs. LPDDR4X I/O Energy, Including Pre-Driver 3 I/O Energy, pj/bit 2 1 ~40% Decrease LP4, 60 Ohms LP4, 120 Ohms LP4, Unterm LP4X, 60 Ohms LP4X, 120 Ohms LP4X, Unterm Data Rate, Mbps

8 LPDDR4X I/O LPDDR4X reduces I/O channel energy to where it can sometimes be lower than predriver energy With LPDDR4X we ve reached the point where further reductions in Vddq have limited opportunity for energy savings The DRAM Rx limits the minimum signal swing Significant reductions in channel loading would be required to reduce pre-driver energy The DRAM core energy is now much larger than DRAM I/O energy

9 LPDDR4X: I/O Energy Breakdown Notice that at the most-efficient operating points (toward the right end of each line), the pre-driver energy is comparable to the channel energy Reducing Vddq below 0.6v will have limited impact, and may even increase total I/O energy 1.5 LPDDR4X I/O Energy, Channel+Termination vs. Pre-Driver I/O Energy, pj/bit Channel + Term, 60 Ohms Channel + Term, 120 Ohms Channel, Unterminated Pre-Driver, 60 Ohms Pre-Driver, 120 Ohms Pre-Driver, Unterminated Data Rate, Mbps

10 I/O vs. DRAM Core Energy LPDDR4X energy is dominated by the core Future energy reductions should focus on core efficiency in order to be significant 8 LPDDR4X Core Energy vs. I/O Energy Energy, pj/bit Core I/O, 48 Ohms I/O, 60 Ohms I/O, 120 Ohms I/O, Unterm Data Rate, Mbps

11 The Future All About Power Efficiency Power efficiency across a range of bandwidths is a more important attribute than peak bandwidth And cost is still very important JEDEC is beginning to consider LPDDR5 Data rates of 6.4Gbps or even higher are being considered Pushing DRAM performance to extreme speeds has consequences Higher I/O speeds than LPDDR4 will reduce power efficiency at all speeds

12 Effect of Increasing Speed Capability 6.4Gbps is approaching the limits of the DRAM process Pre-driver fanouts must be reduced As a consequence, deploying a DRAM I/O circuit capable of 6.4Gbps will cause an increase in pre-driver power at all speeds This will degrade the energy efficiency of the LP5 I/O compared to LPDDR4X at the lower-speeds - where it matters most! 3 LPDDR5 vs. LPDDR4X I/O Energy, Including Pre-Driver I/O Energy, pj/bit >50% 1600Mbps! LP5, 48 Ohms LP5, 60 Ohms LP5, Unterm LP4X, 48 Ohms LP4X, 60 Ohms LP4X, Unterm Data Rate, Mbps

13 Energy Cost for Higher Speeds Yes, DRAM can be made to function with very high data rates GDDR5 is a good example Adding GDDR5 to our power evolution chart, we see that energy/bit increases vs. LPDDR Power Evolution DDR3 LPDDR3 GDDR5 DDR4 LPDDR4 ENERGY, PJ/BIT DATA RATE, MBPS

14 Alternatives to LPDDR5 in Mobile Devices The challenge of LPDDR5 is to minimize I/O energy while supporting data rates of 6.4Gbps or higher Alternative solutions, include going wider More LPDDR4X I/O s could be a more power-efficient scheme Maintaining LPDDR4X data rates could enable wider PoP solutions that don t require significant changes in packaging There is discussion about using LPDDR3 I/O speeds, but this will: Require twice the I/O pins of LP4X for equivalent bandwidth, therefore increasing packaging costs and risks Unlikely to be more power efficient Another solution that could increase data per pin without a corresponding increase in pin count could be multi-level signaling This could be applied to both PoP and xmcp configurations

15 Attacking the Largest Component of DRAM Power The non-i/o portion of DRAM power now dominates DRAM manufacturers have already highly optimized designs to maximize power efficiency while meeting user requirements for highfrequencies and low latencies Significant reduction in the DRAM array voltage is not practical Lower voltage reduces the maximum charge that can be stored The resulting loss in performance and necessary increase in refresh rate would offset any power improvements

16 DRAM DVFS? Dynamic Voltage Frequency Scaling DVFS - has been used by many mobile components for years DVFS presents significant challenges for DRAM Building a DRAM that meets all of the demanding performance and reliability requirements at a wider voltage range is unlikely to improve efficiency Array core voltage must remain static Only peripheral circuits can operate at a wider range This means the array and periphery voltages must be separated

17 DRAM DVFS Additionally, verification and test of wide voltage ranges for peripheral circuits could be expensive This requirement is driven by the need in mobile applications to quickly change from low-frequency to higher-frequency operation while active Expecting the DRAM to continue operating at the lower frequency while voltage is ramped is required to avoid a stall The DRAM process does not scale to lower voltage well transistor performance decreases much faster than with today s logic processes Timing closure across an increased voltage range for DRAM is a very complex challenge

18 Two-Step DRAM DVFS More palatable to DRAM manufacturers could be a scheme that allowed for DRAM periphery operation at two discrete voltage levels, while leaving the array at one fixed level Much of the power savings can be realized Switching between these two discrete voltages must be fast enough that DRAM operation during the voltage ramp can be prohibited

19 Two-Step DRAM DVFS Low-to-high switching could be performed within the LPDDR4 tfc spec, therefore operation could be dis-allowed during the switch time This means DVFS can be automatically applied when the user switches operation between Frequency Set Points (FSP) Power efficiency can be improved by >30%

20 Future Challenges DRAM scaling challenges will add complexity to future memory systems twr will increase Native refresh times will shorten Especially if DRAM vendors reduce core voltage Error detection and correction will become a requirement ECC can reduce power and mitigate the performance impact of DRAM scaling challenges

21 Heterogenous Memory Space Mobile memory density continues to increase - do we really need maximum DRAM performance for the entire memory space? Would mobile systems be better served with a smaller, highspeed Local Main Memory and a larger, non-volatile memory array? A system like the one below could leverage emerging non-volatile memories that promise to be >1000x faster than NAND and much less costly than DRAM Storage Videos Music Apps OS

22 Logic-to-Logic Signaling DRAM I/O performance is nearing its limits Continuing to push I/O performance will decrease energy efficiency Addition of a logic die to the memory subsystem could enable higher-speed signaling, and therefore either higher performance or reduced SoC pincount A wide, slower interface to multiple DRAM and/or NVRAM die would be restricted to inside the memory package High-pincount packaging would not be required

23 Thank You

Five Emerging DRAM Interfaces You Should Know for Your Next Design

Five Emerging DRAM Interfaces You Should Know for Your Next Design Five Emerging DRAM Interfaces You Should Know for Your Next Design By Gopal Raghavan, Cadence Design Systems Producing DRAM chips in commodity volumes and prices to meet the demands of the mobile market

More information

Low Power & High Bandwidth Memory Trend

Low Power & High Bandwidth Memory Trend Low Power & High Bandwidth Memory Trend JEDEC Mobile & IOT Forum Copyright 2016 [ SK hynix / Sungmin(Sam) Park] Where Do We Stand? SK hynix Developed the World s First Next Generation Mobile Memory: LPDDR4

More information

LPDDR4: Evolution for new Mobile World

LPDDR4: Evolution for new Mobile World LPDDR4: Evolution for new Mobile World 2013.08.06 JungYong(JY) Choi Senior Manager Samsung Semiconductor Inc. 1 / 22 Legal Disclaimer This presentation is intended to provide information concerning memory

More information

IoT, Wearable, Networking and Automotive Markets Driving External Memory Innovation Jim Cooke, Sr. Ecosystem Enabling Manager, Embedded Business Unit

IoT, Wearable, Networking and Automotive Markets Driving External Memory Innovation Jim Cooke, Sr. Ecosystem Enabling Manager, Embedded Business Unit IoT, Wearable, Networking and Automotive Markets Driving External Memory Innovation Jim Cooke, Sr. Ecosystem Enabling Manager, Embedded Business Unit JCooke@Micron.com 2016Micron Technology, Inc. All rights

More information

Signs of Intelligent Life: AI Simplifies IoT

Signs of Intelligent Life: AI Simplifies IoT Signs of Intelligent Life: AI Simplifies IoT JEDEC Mobile & IOT Forum Stephen Lum Samsung Semiconductor, Inc. Copyright 2018 APPLICATIONS DRIVE CHANGES IN ARCHITECTURES x86 Processors Apps Processors FPGA

More information

Facilitating IP Development for the OpenCAPI Memory Interface Kevin McIlvain, Memory Development Engineer IBM. Join the Conversation #OpenPOWERSummit

Facilitating IP Development for the OpenCAPI Memory Interface Kevin McIlvain, Memory Development Engineer IBM. Join the Conversation #OpenPOWERSummit Facilitating IP Development for the OpenCAPI Memory Interface Kevin McIlvain, Memory Development Engineer IBM Join the Conversation #OpenPOWERSummit Moral of the Story OpenPOWER is the best platform to

More information

Architectural Options for LPDDR4 Implementation in Your Next Chip Design

Architectural Options for LPDDR4 Implementation in Your Next Chip Design Architectural Options for LPDDR4 Implementation in Your Next Chip Design Marc Greenberg, Director, DDR Product Marketing, Synopsys JEDEC Mobile & IOT Forum Copyright 2016 Synopsys, Inc. Introduction /

More information

LP-DDR. How Much Power Will a Low-Power SDRAM Save you? Marc Greenberg Director, Technical Marketing Denali Software, Inc.

LP-DDR. How Much Power Will a Low-Power SDRAM Save you? Marc Greenberg Director, Technical Marketing Denali Software, Inc. LP-DDR How Much Power Will a Low-Power SDRAM Save you? Marc Greenberg Director, Technical Marketing Denali Software, Inc. 1 Introduction There are two types of DRAM chips commonly used in embedded systems.

More information

Persistent Memory. High Speed and Low Latency. White Paper M-WP006

Persistent Memory. High Speed and Low Latency. White Paper M-WP006 Persistent Memory High Speed and Low Latency White Paper M-WP6 Corporate Headquarters: 3987 Eureka Dr., Newark, CA 9456, USA Tel: (51) 623-1231 Fax: (51) 623-1434 E-mail: info@smartm.com Customer Service:

More information

Towards Energy-Proportional Datacenter Memory with Mobile DRAM

Towards Energy-Proportional Datacenter Memory with Mobile DRAM Towards Energy-Proportional Datacenter Memory with Mobile DRAM Krishna Malladi 1 Frank Nothaft 1 Karthika Periyathambi Benjamin Lee 2 Christos Kozyrakis 1 Mark Horowitz 1 Stanford University 1 Duke University

More information

Extending the Benefits of GDDR Beyond Graphics

Extending the Benefits of GDDR Beyond Graphics Extending the Benefits of GDDR Beyond Graphics Micron High-Performance Graphics Memory Addresses the High-Bandwidth Demands of Networking Today and Tomorrow Overview Key Benefits of High- Performance Graphics

More information

Emergence of Segment-Specific DDRn Memory Controller and PHY IP Solution. By Eric Esteve (PhD) Analyst. July IPnest.

Emergence of Segment-Specific DDRn Memory Controller and PHY IP Solution. By Eric Esteve (PhD) Analyst. July IPnest. Emergence of Segment-Specific DDRn Memory Controller and PHY IP Solution By Eric Esteve (PhD) Analyst July 2016 IPnest www.ip-nest.com Emergence of Segment-Specific DDRn Memory Controller IP Solution By

More information

TECHNOLOGY BRIEF. Double Data Rate SDRAM: Fast Performance at an Economical Price EXECUTIVE SUMMARY C ONTENTS

TECHNOLOGY BRIEF. Double Data Rate SDRAM: Fast Performance at an Economical Price EXECUTIVE SUMMARY C ONTENTS TECHNOLOGY BRIEF June 2002 Compaq Computer Corporation Prepared by ISS Technology Communications C ONTENTS Executive Summary 1 Notice 2 Introduction 3 SDRAM Operation 3 How CAS Latency Affects System Performance

More information

Memory Industry Report T A B L E O F C O N T E N T S

Memory Industry Report T A B L E O F C O N T E N T S Memory Industry Report T A B L E O F C O N T E N T S Executive Summary 1 MEMORY INDUSTRY DYNAMICS & MARKET DRIVERS...3 Memory Market a significant portion of Semi business...3 Memory Market Forecast...3

More information

Memory Industry Dynamics & Market Drivers Memory Market Segments Memory Products Dynamics Memory Status 2007 Key Challenges for 2008 Future Trends

Memory Industry Dynamics & Market Drivers Memory Market Segments Memory Products Dynamics Memory Status 2007 Key Challenges for 2008 Future Trends TOC Memory Industry Dynamics & Market Drivers Memory Market a significant portion of Semi business Memory Market Forecast Industry Dynamics & Impact on Memory Market Key drivers for Memory growth Memory

More information

Chapter 5: ASICs Vs. PLDs

Chapter 5: ASICs Vs. PLDs Chapter 5: ASICs Vs. PLDs 5.1 Introduction A general definition of the term Application Specific Integrated Circuit (ASIC) is virtually every type of chip that is designed to perform a dedicated task.

More information

Improving DRAM Performance by Parallelizing Refreshes with Accesses

Improving DRAM Performance by Parallelizing Refreshes with Accesses Improving DRAM Performance by Parallelizing Refreshes with Accesses Kevin Chang Donghyuk Lee, Zeshan Chishti, Alaa Alameldeen, Chris Wilkerson, Yoongu Kim, Onur Mutlu Executive Summary DRAM refresh interferes

More information

Memory technology and optimizations ( 2.3) Main Memory

Memory technology and optimizations ( 2.3) Main Memory Memory technology and optimizations ( 2.3) 47 Main Memory Performance of Main Memory: Latency: affects Cache Miss Penalty» Access Time: time between request and word arrival» Cycle Time: minimum time between

More information

The Evolution of Mobile

The Evolution of Mobile The Evolution of Mobile and its impact on storage architecture Jonathan Hubert Director, Strategic Marketing Micron Technology Mobile Memory Workshop 2011 Wireless Data Rates Doubling Every 18 Months 2

More information

Emerging IC Packaging Platforms for ICT Systems - MEPTEC, IMAPS and SEMI Bay Area Luncheon Presentation

Emerging IC Packaging Platforms for ICT Systems - MEPTEC, IMAPS and SEMI Bay Area Luncheon Presentation Emerging IC Packaging Platforms for ICT Systems - MEPTEC, IMAPS and SEMI Bay Area Luncheon Presentation Dr. Li Li Distinguished Engineer June 28, 2016 Outline Evolution of Internet The Promise of Internet

More information

Mobile DRAM Standard Formulation

Mobile DRAM Standard Formulation Mobile DRAM Standard Formulation Chun-Lung Hsu, Jenn-Shyang Chiou and Wen-Hsin Peng Abstract Mobile DRAM is widely employed in portable electronic devices due to its feature of low-power consumption. Recently,

More information

Understanding Reduced-Voltage Operation in Modern DRAM Devices

Understanding Reduced-Voltage Operation in Modern DRAM Devices Understanding Reduced-Voltage Operation in Modern DRAM Devices Experimental Characterization, Analysis, and Mechanisms Kevin Chang A. Giray Yaglikci, Saugata Ghose,Aditya Agrawal *, Niladrish Chatterjee

More information

UFS 3.0 Controller Design Considerations

UFS 3.0 Controller Design Considerations UFS 3.0 Controller Design Considerations JEDEC Mobile & IOT Forum Copyright 2018 Phison Electronics Corp. Keith Tsai Mobile Storage Evolution emmc Latest widely adopted standard before UFS 2.x Limited

More information

Technical Note. GDDR5X: The Next-Generation Graphics DRAM. Introduction. TN-ED-02: GDDR5X: The Next-Generation Graphics DRAM.

Technical Note. GDDR5X: The Next-Generation Graphics DRAM. Introduction. TN-ED-02: GDDR5X: The Next-Generation Graphics DRAM. Technical Note TN-ED-02: GDDR5X: The Next-Generation Graphics DRAM Introduction GDDR5X: The Next-Generation Graphics DRAM Introduction Since its market introduction in 2008, GDDR5 SGRAM has been the choice

More information

VIA Apollo P4X400 Chipset. Enabling Total System Performance

VIA Apollo P4X400 Chipset. Enabling Total System Performance VIA Apollo P4X400 Chipset Enabling Total System Performance High performance DDR400 chipset platform for the Intel Pentium 4 processor Page 1 Introduction The VIA Apollo P4X400 is a core logic chipset

More information

Couture: Tailoring STT-MRAM for Persistent Main Memory. Mustafa M Shihab Jie Zhang Shuwen Gao Joseph Callenes-Sloan Myoungsoo Jung

Couture: Tailoring STT-MRAM for Persistent Main Memory. Mustafa M Shihab Jie Zhang Shuwen Gao Joseph Callenes-Sloan Myoungsoo Jung Couture: Tailoring STT-MRAM for Persistent Main Memory Mustafa M Shihab Jie Zhang Shuwen Gao Joseph Callenes-Sloan Myoungsoo Jung Executive Summary Motivation: DRAM plays an instrumental role in modern

More information

Will Phase Change Memory (PCM) Replace DRAM or NAND Flash?

Will Phase Change Memory (PCM) Replace DRAM or NAND Flash? Will Phase Change Memory (PCM) Replace DRAM or NAND Flash? Dr. Mostafa Abdulla High-Speed Engineering Sr. Manager, Micron Marc Greenberg Product Marketing Director, Cadence August 19, 2010 Flash Memory

More information

An introduction to SDRAM and memory controllers. 5kk73

An introduction to SDRAM and memory controllers. 5kk73 An introduction to SDRAM and memory controllers 5kk73 Presentation Outline (part 1) Introduction to SDRAM Basic SDRAM operation Memory efficiency SDRAM controller architecture Conclusions Followed by part

More information

Basic Organization Memory Cell Operation. CSCI 4717 Computer Architecture. ROM Uses. Random Access Memory. Semiconductor Memory Types

Basic Organization Memory Cell Operation. CSCI 4717 Computer Architecture. ROM Uses. Random Access Memory. Semiconductor Memory Types CSCI 4717/5717 Computer Architecture Topic: Internal Memory Details Reading: Stallings, Sections 5.1 & 5.3 Basic Organization Memory Cell Operation Represent two stable/semi-stable states representing

More information

3D TECHNOLOGIES: SOME PERSPECTIVES FOR MEMORY INTERCONNECT AND CONTROLLER

3D TECHNOLOGIES: SOME PERSPECTIVES FOR MEMORY INTERCONNECT AND CONTROLLER 3D TECHNOLOGIES: SOME PERSPECTIVES FOR MEMORY INTERCONNECT AND CONTROLLER CODES+ISSS: Special session on memory controllers Taipei, October 10 th 2011 Denis Dutoit, Fabien Clermidy, Pascal Vivet {denis.dutoit@cea.fr}

More information

Future of Interconnect Fabric A Contrarian View. Shekhar Borkar June 13, 2010 Intel Corp. 1

Future of Interconnect Fabric A Contrarian View. Shekhar Borkar June 13, 2010 Intel Corp. 1 Future of Interconnect Fabric A ontrarian View Shekhar Borkar June 13, 2010 Intel orp. 1 Outline Evolution of interconnect fabric On die network challenges Some simple contrarian proposals Evaluation and

More information

Making Sense of Artificial Intelligence: A Practical Guide

Making Sense of Artificial Intelligence: A Practical Guide Making Sense of Artificial Intelligence: A Practical Guide JEDEC Mobile & IOT Forum Copyright 2018 Young Paik, Samsung Senior Director Product Planning Disclaimer This presentation and/or accompanying

More information

Hybrid Memory Cube (HMC)

Hybrid Memory Cube (HMC) 23 Hybrid Memory Cube (HMC) J. Thomas Pawlowski, Fellow Chief Technologist, Architecture Development Group, Micron jpawlowski@micron.com 2011 Micron Technology, I nc. All rights reserved. Products are

More information

Negotiating the Maze Getting the most out of memory systems today and tomorrow. Robert Kaye

Negotiating the Maze Getting the most out of memory systems today and tomorrow. Robert Kaye Negotiating the Maze Getting the most out of memory systems today and tomorrow Robert Kaye 1 System on Chip Memory Systems Systems use external memory Large address space Low cost-per-bit Large interface

More information

Total IP Solution for Mobile Storage UFS & NAND Controllers

Total IP Solution for Mobile Storage UFS & NAND Controllers Total IP Solution for Mobile Storage UFS & NAND Controllers Yuping Chung Arasan Chip Systems San Jose, CA Mobile Forum Taiwan & Korea 2012 Fast Growing NAND Storage Markets GB(M) 15 10 5 Mobile SSD Tablet

More information

Hybrid Memory Platform

Hybrid Memory Platform Hybrid Memory Platform Kenneth Wright, Sr. Driector Rambus / Emerging Solutions Division Join the Conversation #OpenPOWERSummit 1 Outline The problem / The opportunity Project goals Roadmap - Sub-projects/Tracks

More information

DDR3 Memory Buffer: Buffer at the Heart of the LRDIMM Architecture. Paul Washkewicz Vice President Marketing, Inphi

DDR3 Memory Buffer: Buffer at the Heart of the LRDIMM Architecture. Paul Washkewicz Vice President Marketing, Inphi DDR3 Memory Buffer: Buffer at the Heart of the LRDIMM Architecture Paul Washkewicz Vice President Marketing, Inphi Theme Challenges with Memory Bandwidth Scaling How LRDIMM Addresses this Challenge Under

More information

Addressing the Memory Wall

Addressing the Memory Wall Lecture 26: Addressing the Memory Wall Parallel Computer Architecture and Programming CMU 15-418/15-618, Spring 2015 Tunes Cage the Elephant Back Against the Wall (Cage the Elephant) This song is for the

More information

The Memory Hierarchy 1

The Memory Hierarchy 1 The Memory Hierarchy 1 What is a cache? 2 What problem do caches solve? 3 Memory CPU Abstraction: Big array of bytes Memory memory 4 Performance vs 1980 Processor vs Memory Performance Memory is very slow

More information

Reducing DRAM Latency at Low Cost by Exploiting Heterogeneity. Donghyuk Lee Carnegie Mellon University

Reducing DRAM Latency at Low Cost by Exploiting Heterogeneity. Donghyuk Lee Carnegie Mellon University Reducing DRAM Latency at Low Cost by Exploiting Heterogeneity Donghyuk Lee Carnegie Mellon University Problem: High DRAM Latency processor stalls: waiting for data main memory high latency Major bottleneck

More information

Annual Public Safety PSAP Survey results

Annual Public Safety PSAP Survey results Annual Public Safety PSAP Survey results 573 PSAP Employees Surveyed March - July 2016 2016 Stratus Technologies. All Rights Reserved. 1 Stratus PSAP Survey March 2016 From March 2016 through July of 2016,

More information

Organization. 5.1 Semiconductor Main Memory. William Stallings Computer Organization and Architecture 6th Edition

Organization. 5.1 Semiconductor Main Memory. William Stallings Computer Organization and Architecture 6th Edition William Stallings Computer Organization and Architecture 6th Edition Chapter 5 Internal Memory 5.1 Semiconductor Main Memory 5.2 Error Correction 5.3 Advanced DRAM Organization 5.1 Semiconductor Main Memory

More information

High-Speed NAND Flash

High-Speed NAND Flash High-Speed NAND Flash Design Considerations to Maximize Performance Presented by: Robert Pierce Sr. Director, NAND Flash Denali Software, Inc. History of NAND Bandwidth Trend MB/s 20 60 80 100 200 The

More information

ECE 486/586. Computer Architecture. Lecture # 2

ECE 486/586. Computer Architecture. Lecture # 2 ECE 486/586 Computer Architecture Lecture # 2 Spring 2015 Portland State University Recap of Last Lecture Old view of computer architecture: Instruction Set Architecture (ISA) design Real computer architecture:

More information

NAND Flash Architecture and Specification Trends

NAND Flash Architecture and Specification Trends NAND Flash Architecture and Specification Trends Michael Abraham (mabraham@micron.com) NAND Solutions Group Architect Micron Technology, Inc. August 2011 1 Topics NAND Flash trends SSD/Enterprise application

More information

ADVANCED SECURITY MECHANISMS TO PROTECT ASSETS AND NETWORKS: SOFTWARE-DEFINED SECURITY

ADVANCED SECURITY MECHANISMS TO PROTECT ASSETS AND NETWORKS: SOFTWARE-DEFINED SECURITY ADVANCED SECURITY MECHANISMS TO PROTECT ASSETS AND NETWORKS: SOFTWARE-DEFINED SECURITY One of the largest concerns of organisations is how to implement and introduce advanced security mechanisms to protect

More information

ECE 571 Advanced Microprocessor-Based Design Lecture 17

ECE 571 Advanced Microprocessor-Based Design Lecture 17 ECE 571 Advanced Microprocessor-Based Design Lecture 17 Vince Weaver http://web.eece.maine.edu/~vweaver vincent.weaver@maine.edu 3 April 2018 HW8 is readings Announcements 1 More DRAM 2 ECC Memory There

More information

Intel s s Memory Strategy for the Wireless Phone

Intel s s Memory Strategy for the Wireless Phone Intel s s Memory Strategy for the Wireless Phone Stefan Lai VP and Co-Director, CTM Intel Corporation Nikkei Microdevices Memory Symposium January 26 th, 2005 Agenda Evolution of Memory Requirements Evolution

More information

Lecture 18: DRAM Technologies

Lecture 18: DRAM Technologies Lecture 18: DRAM Technologies Last Time: Cache and Virtual Memory Review Today DRAM organization or, why is DRAM so slow??? Lecture 18 1 Main Memory = DRAM Lecture 18 2 Basic DRAM Architecture Lecture

More information

COSC 6385 Computer Architecture - Memory Hierarchies (III)

COSC 6385 Computer Architecture - Memory Hierarchies (III) COSC 6385 Computer Architecture - Memory Hierarchies (III) Edgar Gabriel Spring 2014 Memory Technology Performance metrics Latency problems handled through caches Bandwidth main concern for main memory

More information

Flash Storage Trends & Ecosystem

Flash Storage Trends & Ecosystem Flash Storage Trends & Ecosystem Hung Vuong Qualcomm Inc. Introduction Trends Agenda Wireless Industry Trends Memory & Storage Trends Opportunities Summary Cellular Products Group (CPG) Wireless Handsets

More information

3D systems-on-chip. A clever partitioning of circuits to improve area, cost, power and performance. The 3D technology landscape

3D systems-on-chip. A clever partitioning of circuits to improve area, cost, power and performance. The 3D technology landscape Edition April 2017 Semiconductor technology & processing 3D systems-on-chip A clever partitioning of circuits to improve area, cost, power and performance. In recent years, the technology of 3D integration

More information

Samsung emcp. WLI DDP Package. Samsung Multi-Chip Packages can help reduce the time to market for handheld devices BROCHURE

Samsung emcp. WLI DDP Package. Samsung Multi-Chip Packages can help reduce the time to market for handheld devices BROCHURE Samsung emcp Samsung Multi-Chip Packages can help reduce the time to market for handheld devices WLI DDP Package Deliver innovative portable devices more quickly. Offer higher performance for a rapidly

More information

CS 140 Introduction to Computing & Computer Technology. Computing Components

CS 140 Introduction to Computing & Computer Technology. Computing Components CS 140 Introduction to Computing & Computer Technology Computing Components We ve looked at the elementary building blocks of computers transistors, gates, and circuits OK, but how do computers really

More information

Overview. Memory Classification Read-Only Memory (ROM) Random Access Memory (RAM) Functional Behavior of RAM. Implementing Static RAM

Overview. Memory Classification Read-Only Memory (ROM) Random Access Memory (RAM) Functional Behavior of RAM. Implementing Static RAM Memories Overview Memory Classification Read-Only Memory (ROM) Types of ROM PROM, EPROM, E 2 PROM Flash ROMs (Compact Flash, Secure Digital, Memory Stick) Random Access Memory (RAM) Types of RAM Static

More information

How consumer product like Google's Pixelbook benefit from NVMe Storage? Zhiping Yang, Ph. D. Google Inc. Oct. 17, 2017

How consumer product like Google's Pixelbook benefit from NVMe Storage? Zhiping Yang, Ph. D. Google Inc. Oct. 17, 2017 How consumer product like Google's Pixelbook benefit from NVMe Storage? Zhiping Yang, Ph. D. Google Inc. Oct. 17, 2017 1 Agenda Consumer Hardware at Google Consumer Product s Storage Wishlist Why PCIe

More information

Flash Memory Overview: Technology & Market Trends. Allen Yu Phison Electronics Corp.

Flash Memory Overview: Technology & Market Trends. Allen Yu Phison Electronics Corp. Flash Memory Overview: Technology & Market Trends Allen Yu Phison Electronics Corp. 25,000 20,000 15,000 The NAND Market 40% CAGR 10,000 5,000 ($Million) - 2001 2002 2003 2004 2005 2006 2007 2008 2009

More information

Kevin Donnelly, General Manager, Memory and Interface Division

Kevin Donnelly, General Manager, Memory and Interface Division Kevin Donnelly, General Manager, Memory and Interface Division Robust system solutions including memory and serial link interfaces that increase SoC and system quality. Driving Factors for Systems Today

More information

CSE502: Computer Architecture CSE 502: Computer Architecture

CSE502: Computer Architecture CSE 502: Computer Architecture CSE 502: Computer Architecture Memory / DRAM SRAM = Static RAM SRAM vs. DRAM As long as power is present, data is retained DRAM = Dynamic RAM If you don t do anything, you lose the data SRAM: 6T per bit

More information

Real Time Embedded Systems

Real Time Embedded Systems Real Time Embedded Systems " Memories " rene.beuchat@epfl.ch LAP/ISIM/IC/EPFL Chargé de cours LSN/hepia Prof. HES 1998-2008 2 General classification of electronic memories Non-volatile Memories ROM PROM

More information

Evaluating STT-RAM as an Energy-Efficient Main Memory Alternative

Evaluating STT-RAM as an Energy-Efficient Main Memory Alternative Evaluating STT-RAM as an Energy-Efficient Main Memory Alternative Emre Kültürsay *, Mahmut Kandemir *, Anand Sivasubramaniam *, and Onur Mutlu * Pennsylvania State University Carnegie Mellon University

More information

Chapter 2: Memory Hierarchy Design (Part 3) Introduction Caches Main Memory (Section 2.2) Virtual Memory (Section 2.4, Appendix B.4, B.

Chapter 2: Memory Hierarchy Design (Part 3) Introduction Caches Main Memory (Section 2.2) Virtual Memory (Section 2.4, Appendix B.4, B. Chapter 2: Memory Hierarchy Design (Part 3) Introduction Caches Main Memory (Section 2.2) Virtual Memory (Section 2.4, Appendix B.4, B.5) Memory Technologies Dynamic Random Access Memory (DRAM) Optimized

More information

Competitive Public Switched Telephone Network (PSTN) Wide- Area Network (WAN) Access Using Signaling System 7 (SS7)

Competitive Public Switched Telephone Network (PSTN) Wide- Area Network (WAN) Access Using Signaling System 7 (SS7) Competitive Public Switched Telephone Network (PSTN) Wide- Area Network (WAN) Access Using Signaling System 7 (SS7) Definition Using conventional Internet access equipment, service providers may access

More information

Networks for Multi-core Chips A A Contrarian View. Shekhar Borkar Aug 27, 2007 Intel Corp.

Networks for Multi-core Chips A A Contrarian View. Shekhar Borkar Aug 27, 2007 Intel Corp. Networks for Multi-core hips A A ontrarian View Shekhar Borkar Aug 27, 2007 Intel orp. 1 Outline Multi-core system outlook On die network challenges A simple contrarian proposal Benefits Summary 2 A Sample

More information

Mainstream Computer System Components CPU Core 2 GHz GHz 4-way Superscaler (RISC or RISC-core (x86): Dynamic scheduling, Hardware speculation

Mainstream Computer System Components CPU Core 2 GHz GHz 4-way Superscaler (RISC or RISC-core (x86): Dynamic scheduling, Hardware speculation Mainstream Computer System Components CPU Core 2 GHz - 3.0 GHz 4-way Superscaler (RISC or RISC-core (x86): Dynamic scheduling, Hardware speculation One core or multi-core (2-4) per chip Multiple FP, integer

More information

Non-Volatile Memory Cache Enhancements: Turbo-Charging Client Platform Performance

Non-Volatile Memory Cache Enhancements: Turbo-Charging Client Platform Performance Non-Volatile Memory Cache Enhancements: Turbo-Charging Client Platform Performance By Robert E Larsen NVM Cache Product Line Manager Intel Corporation August 2008 1 Legal Disclaimer INFORMATION IN THIS

More information

Mainstream Computer System Components

Mainstream Computer System Components Mainstream Computer System Components Double Date Rate (DDR) SDRAM One channel = 8 bytes = 64 bits wide Current DDR3 SDRAM Example: PC3-12800 (DDR3-1600) 200 MHz (internal base chip clock) 8-way interleaved

More information

ECE 485/585 Microprocessor System Design

ECE 485/585 Microprocessor System Design Microprocessor System Design Lecture 4: Memory Hierarchy Memory Taxonomy SRAM Basics Memory Organization DRAM Basics Zeshan Chishti Electrical and Computer Engineering Dept Maseeh College of Engineering

More information

Unleashing the Power of Embedded DRAM

Unleashing the Power of Embedded DRAM Copyright 2005 Design And Reuse S.A. All rights reserved. Unleashing the Power of Embedded DRAM by Peter Gillingham, MOSAID Technologies Incorporated Ottawa, Canada Abstract Embedded DRAM technology offers

More information

WHITE PAPER Hybrid Approach to DDoS Mitigation

WHITE PAPER Hybrid Approach to DDoS Mitigation WHITE PAPER Hybrid Approach to DDoS Mitigation FIRST LINE OF DEFENSE Executive Summary As organizations consider options for DDoS mitigation, it is important to realize that the optimal solution is a hybrid

More information

Multi-threading technology and the challenges of meeting performance and power consumption demands for mobile applications

Multi-threading technology and the challenges of meeting performance and power consumption demands for mobile applications Multi-threading technology and the challenges of meeting performance and power consumption demands for mobile applications September 2013 Navigating between ever-higher performance targets and strict limits

More information

Preparing for Mass Market Virtual Reality: A Mobile Perspective. Qualcomm Technologies, Inc. September 16, 2017

Preparing for Mass Market Virtual Reality: A Mobile Perspective. Qualcomm Technologies, Inc. September 16, 2017 Preparing for Mass Market Virtual Reality: A Mobile Perspective Qualcomm Technologies, Inc. September 16, 2017 Immersive Always-connected VR is meant to be Mobile 2 Automotive video streaming Crowded event

More information

Xilinx SSI Technology Concept to Silicon Development Overview

Xilinx SSI Technology Concept to Silicon Development Overview Xilinx SSI Technology Concept to Silicon Development Overview Shankar Lakka Aug 27 th, 2012 Agenda Economic Drivers and Technical Challenges Xilinx SSI Technology, Power, Performance SSI Development Overview

More information

Driving SSD Storage into Today s Embedded Systems Computing Architecture s

Driving SSD Storage into Today s Embedded Systems Computing Architecture s Driving SSD Storage into Today s Embedded Systems Computing Architecture s Grady Lambert SMART Modular Technologies Presentation Agenda Standard Form-Factors and Applications Market Trends Common Figures

More information

The Evolving NAND Flash Business Model for SSD. Steffen Hellmold VP BD, SandForce

The Evolving NAND Flash Business Model for SSD. Steffen Hellmold VP BD, SandForce The Evolving NAND Flash Business Model for SSD Steffen Hellmold VP BD, SandForce Solid State Storage - Vision Solid State Storage in future Enterprise Compute Anything performance sensitive goes solid

More information

Rethinking VDI: The Role of Client-Hosted Virtual Desktops. White Paper Virtual Computer, Inc. All Rights Reserved.

Rethinking VDI: The Role of Client-Hosted Virtual Desktops. White Paper Virtual Computer, Inc. All Rights Reserved. Rethinking VDI: The Role of Client-Hosted Virtual Desktops White Paper 2011 Virtual Computer, Inc. All Rights Reserved. www.virtualcomputer.com The Evolving Corporate Desktop Personal computers are now

More information

I/O CANNOT BE IGNORED

I/O CANNOT BE IGNORED LECTURE 13 I/O I/O CANNOT BE IGNORED Assume a program requires 100 seconds, 90 seconds for main memory, 10 seconds for I/O. Assume main memory access improves by ~10% per year and I/O remains the same.

More information

Toward a Memory-centric Architecture

Toward a Memory-centric Architecture Toward a Memory-centric Architecture Martin Fink EVP & Chief Technology Officer Western Digital Corporation August 8, 2017 1 SAFE HARBOR DISCLAIMERS Forward-Looking Statements This presentation contains

More information

Design and Implementation of an AHB SRAM Memory Controller

Design and Implementation of an AHB SRAM Memory Controller Design and Implementation of an AHB SRAM Memory Controller 1 Module Overview Learn the basics of Computer Memory; Design and implement an AHB SRAM memory controller, which replaces the previous on-chip

More information

Crusoe Processor Model TM5800

Crusoe Processor Model TM5800 Model TM5800 Crusoe TM Processor Model TM5800 Features VLIW processor and x86 Code Morphing TM software provide x86-compatible mobile platform solution Processors fabricated in latest 0.13µ process technology

More information

Architectural Musings

Architectural Musings Architectural Musings Rethinking Computer Systems Architecture & Evaluation Christopher Vick cvick@qti.qualcomm.com March 23, 2014 1 Introduction Vision Talk How should we analyze, reason about and evaluate

More information

William Stallings Computer Organization and Architecture 6th Edition. Chapter 5 Internal Memory

William Stallings Computer Organization and Architecture 6th Edition. Chapter 5 Internal Memory William Stallings Computer Organization and Architecture 6th Edition Chapter 5 Internal Memory Semiconductor Memory Types Semiconductor Memory RAM Misnamed as all semiconductor memory is random access

More information

Cutting Power Consumption in HDD Electronics. Duncan Furness Senior Product Manager

Cutting Power Consumption in HDD Electronics. Duncan Furness Senior Product Manager Cutting Power Consumption in HDD Electronics Duncan Furness Senior Product Manager Situation Overview The industry continues to drive to lower power solutions Driven by: Need for higher reliability Extended

More information

EECS 598: Integrating Emerging Technologies with Computer Architecture. Lecture 10: Three-Dimensional (3D) Integration

EECS 598: Integrating Emerging Technologies with Computer Architecture. Lecture 10: Three-Dimensional (3D) Integration 1 EECS 598: Integrating Emerging Technologies with Computer Architecture Lecture 10: Three-Dimensional (3D) Integration Instructor: Ron Dreslinski Winter 2016 University of Michigan 1 1 1 Announcements

More information

Packaging Technology for Image-Processing LSI

Packaging Technology for Image-Processing LSI Packaging Technology for Image-Processing LSI Yoshiyuki Yoneda Kouichi Nakamura The main function of a semiconductor package is to reliably transmit electric signals from minute electrode pads formed on

More information

Phase Change Memory An Architecture and Systems Perspective

Phase Change Memory An Architecture and Systems Perspective Phase Change Memory An Architecture and Systems Perspective Benjamin C. Lee Stanford University bcclee@stanford.edu Fall 2010, Assistant Professor @ Duke University Benjamin C. Lee 1 Memory Scaling density,

More information

Driving the MRAM Revolution. Kevin Conley CEO, Everspin Technologies

Driving the MRAM Revolution. Kevin Conley CEO, Everspin Technologies Driving the MRAM Revolution Kevin Conley CEO, Everspin Technologies MRAM In Datacenter Core Enables Data Persistence At Speed Enterprise SSD Network Accelerator RAID Storage Accelerator 2 MRAM Enables

More information

Introduction to cache memories

Introduction to cache memories Course on: Advanced Computer Architectures Introduction to cache memories Prof. Cristina Silvano Politecnico di Milano email: cristina.silvano@polimi.it 1 Summary Summary Main goal Spatial and temporal

More information

Technical Note. Maximize SPI Flash Memory Design Flexibility With a Single Package. Introduction

Technical Note. Maximize SPI Flash Memory Design Flexibility With a Single Package. Introduction Technical Note Maximize SPI Flash Memory Design Flexibility With a Single Package TN-25-08: Maximize SPI Flash Memory Design Flexibility Introduction Introduction This technical note discusses how a single

More information

June 2004 Now let s find out exactly what we ve bought, how to shop a new system and how to speed up an existing PC!

June 2004 Now let s find out exactly what we ve bought, how to shop a new system and how to speed up an existing PC! June 2004 Now let s find out exactly what we ve bought, how to shop a new system and how to speed up an existing PC! This article is effective for Microsoft Windows XP, XP Pro, Windows 2000, NT, ME, 98,

More information

Optimizing Apache Spark with Memory1. July Page 1 of 14

Optimizing Apache Spark with Memory1. July Page 1 of 14 Optimizing Apache Spark with Memory1 July 2016 Page 1 of 14 Abstract The prevalence of Big Data is driving increasing demand for real -time analysis and insight. Big data processing platforms, like Apache

More information

High Volume Manufacturing Supply Chain Ecosystem for 2.5D HBM2 ASIC SiPs

High Volume Manufacturing Supply Chain Ecosystem for 2.5D HBM2 ASIC SiPs Open-Silicon.com 490 N. McCarthy Blvd, #220 Milpitas, CA 95035 408-240-5700 HQ High Volume Manufacturing Supply Chain Ecosystem for 2.5D HBM2 ASIC SiPs Open-Silicon Asim Salim VP Mfg. Operations 20+ experience

More information

Virtualization. Q&A with an industry leader. Virtualization is rapidly becoming a fact of life for agency executives,

Virtualization. Q&A with an industry leader. Virtualization is rapidly becoming a fact of life for agency executives, Virtualization Q&A with an industry leader Virtualization is rapidly becoming a fact of life for agency executives, as the basis for data center consolidation and cloud computing and, increasingly, as

More information

DDR3 Memory for Intel-based G6 Servers

DDR3 Memory for Intel-based G6 Servers DDR3 Memory for Intel-based G6 Servers March 2009 2009 Hewlett-Packard Development Company, L.P. The information contained herein is subject to change without notice. New memory technology with G6; DDR-3

More information

In this E-Guide: The Future of 5G

In this E-Guide: The Future of 5G In this E-Guide: 5G technology is here. Although it isn t completely mainstream yet the technology is certainly starting to impact enterprises. Download this e-guide to learn what the future of 5G technology

More information

Introducing NVDIMM-X: Designed to be the World s Fastest NAND-Based SSD Architecture and a Platform for the Next Generation of New Media SSDs

Introducing NVDIMM-X: Designed to be the World s Fastest NAND-Based SSD Architecture and a Platform for the Next Generation of New Media SSDs , Inc. Introducing NVDIMM-X: Designed to be the World s Fastest NAND-Based SSD Architecture and a Platform for the Next Generation of New Media SSDs Doug Finke Director of Product Marketing September 2016

More information

Solving MIPI D-PHY Receiver Test Challenges

Solving MIPI D-PHY Receiver Test Challenges Stefan Walther and Yu Hu Verigy stefan.walther@verigy.com yu.hu@verigy.com Abstract MIPI stands for the Mobile Industry Processor Interface, which provides a flexible, low-cost, high-speed interface solution

More information

Nanya Technology DRAM Environment & Company Update

Nanya Technology DRAM Environment & Company Update Nanya Technology DRAM Environment & Company Update Presentation to Investors and Analysts 21 June 2016 Joseph Wu, AVP & Deputy Spokesman June 21, 2016 2016 Nanya Technology Corp. Safe Harbor The information

More information

Advanced Computer Architecture (CS620)

Advanced Computer Architecture (CS620) Advanced Computer Architecture (CS620) Background: Good understanding of computer organization (eg.cs220), basic computer architecture (eg.cs221) and knowledge of probability, statistics and modeling (eg.cs433).

More information

Cisco 5G Now! Product Announcements. February, 2018

Cisco 5G Now! Product Announcements. February, 2018 Cisco 5G Now! Product Announcements February, 2018 Mobile Cloud Services 2017 2018 Cisco and/or its affiliates. All rights reserved. Cisco Confidential Application Access (%) Displacing Legacy, Mobile

More information