Networks-on-Chip Router: Configuration and Implementation

Size: px
Start display at page:

Download "Networks-on-Chip Router: Configuration and Implementation"

Transcription

1 Networks-on-Chip : Configuration and Implementation Wen-Chung Tsai, Kuo-Chih Chu * 2 1 Department of Information and Communication Engineering, Chaoyang University of Technology, Taichung 413, Taiwan, ROC 2 Department of Electronic Engineering, Lunghwa University of Science and Technology, Taoyuan 333, Taiwan, ROC # azongtsai@cyut.edu.tw * kcchu@mail.lhu.edu.tw Abstract In this paper, we introduce a communication IP for System-on-Chip (SoC) namely Configurable Networks-on-Chip (CNoC-), which can perform various kinds of topology configuration for an on-chip network. With the flexible topology configuration and an adaptive routing scheme, CNoC- can enable a network with high performance using a relatively easy control. Synthesizable Register-Transfer-Level coding was designed and verified. Furthermore, a prototype based on Altera FPGA with a NIOS2 embedded micro-processor has been implemented to validate its practice. Keywords Field Programmable Gate Array; Networks on Chip; ; System on Chip 1. INTRODUCTION Embedded devices usually run just one or a few applications. Therefore, general-purpose processors are not suitable for running those applications because they are either too slow or too power hungry. Low-power embedded processors combined with hardware accelerators are the preferred choice for most designers. Recent designs for the embedded market use multi-core microprocessor to reduce power consumption. Future embedded systems may use a large number of heterogeneous cores to deliver the best trade-off between performance and power consumption. For these reasons, this paper presents a Configurable Networks-on-Chip (CNoC-) implemented by Altera FPGA (Field Programmable Gate Array) [1] and cooperating with a NIOS2 [2] embedded processor to demonstrate its function correctness and application extension for the many-core paradigm [3]. 2. BACKGROUND From on-chip interconnection networks [4] to the Networks-on-Chip (NoC) paradigm [5], packet switching is an aggressive, long-term approach for nano-scale interconnection networks [6]. To exchange messages among cores, the CNoC- was designed to transmit and receive packets through the physical interconnection channels between routers in the network. In contrast to the computer network, CNoC- covers functions of not only the data-link layer, but also the network layer to offload the processing overheads (e.g., packet routing procedures and switching controls) in embedded processors. In following sections, we will introduce the proposed techniques and demonstrate the enhanced network performance. Finally, a conclusion will be drawn. 3. ARCHITECTURE CNoC- is a 5 five port router as shown in Fig. 1. In which, Port 0 can be attached to a host entity (i.e., processor), Port1~Port4 are used to connect to neighbour routers [7]. Port 4 Port 0 Port1 Port3 Fig. 1 A five-port router Port 2 92

2 3.1. Applicably Topology By using CNoC-, various kinds of topologies can be configured, implementation examples are shown in Fig Switching Core The router switching core switches packets from input ports to output ports. The designed switching core includes Arbiter, Routing Table, Control Registers, s, Controllers, and Multiplexers as Fig 6 shows. Switch Switch Switch Switch Switch Switch Switch Switch Switch Arbiter Routing Table Control Register #8 Switch Switch Switch Switch Switch Switch #8 A 3x3 Mesh Switch A Tree with 7 s Fig. 2 Topology examples 3.2. Host and Neighbour- interfaces contain wrappers for each master and slave controller to transform Host and Neighbour- signals for the FIFO controls as shown in Fig. 3 and Fig. 4, respectively. Most kinds of processors can be attached to the CNoC- with little interface design modifications. In this paper, the Host interface is fitted to an Altera NIOS2 embedded processor [1]. Host Slave Master Controller TX FIFO Controller Switching Core ~~~~ RX Int. RX Int. RX Int. Path Sel. Path Sel. ~~~~ Path Sel. TX ~ ~~ ~ ~~~~ ~~~~ Fig. 5 Switching core Additionally, the Master/Salve interface of the switching core as Fig 7 shows. Switching Core RX Phy TX Phy SIPO Slave PISO RX Controller TX Controller CRC CRC Master s TX FIFOs Fig. 6 Master/Salve interface of switching core TX TX Fig. 3 Host interface of the router 4. SPECIFICATION Neighbour- Slave Master Controller Switching Core Fig. 4 Neighbour-router interface of the router Encapsulation and routing for packets are two essential functionalities of the designed router. Next, the proposed specifications are introduced as follows Packet Format Table 1 illustrates the packet format (32 bits/word), which can support up to (2 16 ) routers addressed in a network, and a packet data length from 0 to (0 ~ ) flits. Besides, the Destination Offset supports Direct Memory Access (DMA). The CRC (Cyclic Redundancy Check) field is or packet error detection. 93

3 TABLE 1 PACKET FORMAT Destination Address Control Code Source Address Data Length Destination Offset Extended Control Code Data ( flits) Fig. 8 displays the neighbour-router interface signals timing of the designed router. It is noticed that the interface supports a wait signal (RX_WAIT) and the RX_STA indicates the available FIFO space of the targeted router. CRC (1 flit) Moreover, in Tables 1 and 2, the Control Code field can enable an intelligent network system. For example, bits in the Control Code can be used to distinguish packet types (uni-cast, multicast, or broadcast), to record the packet sequence number (for packet retransmissions), to support Quality of Service (QoS), and so on. TABLE 2 CONTROL CODE FORMAT PT TC PC EC SN PT: Packet Type 000: Asynchronous Write Request Packet 001: Asynchronous Write Response Packet 010: Asynchronous Read Request Packet 011: Asynchronous Read Response Packet 100: Configuration Packet (CFG, means TC field is redefined) Others: Reserved TC: Type Code (of ASY [Asynchronous-Packet]) 00: ASY Free Route Others: Reserved TC: Type Code (of CFG) 00: CFG Write Request 01: CFG Write Response 10: CFG Read Request 11: CFG Read Response PC: Priority Code 000: Lowest priority ~~~~~~ 111: Highest priority EC: Error Control EC[0]: 0: Ack is required, 1: Ack is not required EC[1]: 0: Retry is permitted, 1: Retry is not permitted, SN: Sequence Number 4.2. Timing Diagram Fig. 7 illustrates the host (NIOS2) interface signals timing of the designed router. It is noticed that the interface design is referred to the specification of Altera NIOS2 [1]. Fig. 7 Host interface signals timing Fig. 8 Neighbour-router interface signals timing 4.3. Register Table 3 is the implemented router register table (32 bits/word). The registers can be programmed directly by the local host (i.e., the attached processor) or via a packet come from another remote router. TABLE 3 ROUTER REGISTER Name Address Description Add. 0x0000 Address Channel Num. 0x0000 Channel Number TABDA[3:0] 0x0001 Destination Add. 3~0 TABDA[7:4] 0x0002 Destination Add. 7~4 TABDA[b:8] 0x0003 Destination Add. b~8 THPRI[3:0] 0x0004 Threshold of Pri. 4~1 Reserved 0x0005 Reserved ~ 0xFFFE Testing 0xFFFF For Testing 4.4. Routing and Flow Control Scheme Each router owns a routing table (cf. TABDAx in Table 3), which keeps the priority of output ports to other routers (the table is predefined according to the network topology). In general, the router choices the first priority except the expected port is congested. This policy gives a router the ability to choice another path to avoid the heavy traffic region. Besides, the threshold (cf. THPRIx in Table 3) of congested levels to enable a re-route can be adjusted. As Fig. 9 shows, when a packet in and would like to go to, it will choice the path via instead of, because s FIFO have more free space than that free FIFO space in. 94

4 SW FIFO Status = 4 superior transit throughput due to less arbitration transmission overheads in routers. FIFO SIZE Effect SW FIFO Status = 1 X X SW FIFO Status SW FIFO Status Throughput (word) SIZE : 16 word SIZE : 1 word Fig. 9 Routing policy Traffic Variation (ns) 5. PERFORMANCE ANALYSES In this section, FIFO size, packet size, routing function, and FPGA performance of the implemented CNoC- are evaluated Analyses of FIFO and Packet Sizes Referring to Fig. 10, we adopted a star topology with five routers as the analysis configuration and compared the performance results with a native switching method. The FIFO size can be programmed via a QUE_LENTH parameter in the CNoC- Register- Transfer-Level (RTL) design, and the packet size can be adjusted in the Data_Length field of the proposed packet header (cf. Table 1) Fig. 10 Configuration of size effect analyses The first diagram in Fig. 11 shows that a larger FIFO size has higher traffic variations tolerances compared with a small size FIFO. According to the second diagram in Fig. 11, transmissions with bigger size packets (16 words per packet) achieve Xmit Data (packet/word) PACKET SIZE Effect Packet Size (word) Xmit Packets Xmit Words Fig. 11 Performance analyses of diverse FIFO sizes and packet sizes 5.2. Analyses of Adaptive Routing In Fig. 12, at issues packets to at. There are 3 paths to be selected. We list the paths from the shortest to the longest as follows: Path1 ( ), Path2 ( ), Path3 ( ). As the number above the shows, received 397 packets during 100 us simulation time, most of them are coming via the shortest path (Path1) Fig. 12 Configuration of adaptive routing analyses

5 Next, we enforced local traffic jams on Path1 ( to ) as shown in Fig. 13. We found that packets can be rerouted to other passable paths (i.e., Path2 and Path3) to avoid the hot traffic spots. The total packets received by are decreased slightly in the identical simulation time of 100 us. 201 (100) 88(232) 395(397) path can be greatly reduced in an Application Specific Integrated Circuit (ASIC) design. TABLE 3 FPGA PERFORMANCE Tool Quartus II Version 7.2 Device Stratix II, EP2S90F1020C5 Utilization 14 % Frequency MHz A NIOS2 embedded micro-processor [1] is attached to the designed router as Fig. 15 shows. The functional correctness of packet sending and receiving via a NIOS2 have been validated. 106(65) Fig. 13 Routing analyses with local traffic jams NIOS2 Packets In -> Packets Out < Port1 Last, we enforced local traffic jams on Path1 ( to ) and Path2 ( to ) as shown in Fig. 14. We found that packets can be rerouted to another passable path (i.e., Path3) to avoid the hot traffic spots. The total packets received by are decreased slightly in the identical simulation time of 100 us. Port4 Port 3 37 (201) 39(88) 317(106) 393(395) Fig. 14 Routing analyses with local traffic jams 5.3. FPGA Performance The CNoC- was designed in Register- Transfer-Level (RTL), verified with ModelSim [8], and implemented by Altera FPGA [1]. We list the FPGA performance indexes in Table 3. The maximum operation frequency is MHz, which is large than the FPGA board clock rate of 50 MHz. The delay of the design critical Port3 Fig. 15 CNoC router attaching with a NIOS2 To measure the performance of a switch, we adopted a network configuration as shown in Fig. 13. In which, issues a packet of length 15 to, the transmission path is. Besides, the cycle time is 10 ns. In Fig. 16, Point 1 shows that the received the same packet (4 header + 11 data) transmitted from. Point 2 indicates the total transmission latency is 560 ns. In Fig. 17, Point 3 reveals that transmission delay of a switch is 120 ns. Point 4 displays that the switch operation in a pipeline fashion, it can process one data unit in per cycle time. In the designed system, a data unit is 32-bit, and the maximal operation frequency is MHz. Accordingly, the bandwidth supported by the CNoC- could be up to 2.4 Gbps. 96

6 Fig. 16 Transmission integrity and latency Fig. 17 Transmission delay and pipelining 6. CONCLUSIONS In this paper, a Configurable Networks-onChip (CNoC-) was proposed to support an on-chip, packet-switching based network infrastructure for the coming many-core system-on-chip designs. CNoC- supported with packet routing and switching are functions corresponding to the network and data-link layers. We list the features of the designed CNoC- as follows: 97 Synthesisable HDL coding in Verilog Test-bench comprises a suit of host model and switch design Support packetized communication Support master & slave interface of host and device models Support adaptive routing scheme Support adaptive flow control

7 Evaluations on comprehensive traffic patterns showed that CNoC- can enhance network performance by well setting the router s configurable control register set. Besides, the designed packet format reserved many fields for further extensions. We believe that such a flexible architecture of CNoC- will support advanced applications and satisfy diverse communication requirements in the nextgeneration deep-submicron chip designs. ACKNOWLEDGMENT This work was supported by National Science Council, ROC, grants NSC E and MOST E REFERENCES [1] AlTERA Limited, NIOS2. i2-index.html [2] AlTERA Limited, FPGA2. [3] AlTERA Limited, From Multicore to Many-Core: Architectures and Lessons. [4] W.J. Dally and B. Towles, Route Packets, Not Wires: On-Chip Interconnection Networks, in Proc. of the 38th ACM/IEEE Design Automation Conference, pp , January [5] L. Benini and G. De Micheli, Networks on Chips: a New SoC Paradigm, IEEE Computer, Vol. 35, No. 1, pp , January [6] W.J. Dally and B. Towles, Principles and Practices of Interconnection Networks, Morgan Kaufmann, [7] W.C. Tsai, Y.J. Shih, and B.S. Lyu, A Configurable Networks-on-Chip Using Altera FPGA and NIOS2 Embedded Processor, International Conference on Advanced Information Technologies, Taichung, Taiwan, April [8] Mentor Graph Limited, ModelSim. 98

Design and Implementation of Low Complexity Router for 2D Mesh Topology using FPGA

Design and Implementation of Low Complexity Router for 2D Mesh Topology using FPGA Design and Implementation of Low Complexity Router for 2D Mesh Topology using FPGA Maheswari Murali * and Seetharaman Gopalakrishnan # * Assistant professor, J. J. College of Engineering and Technology,

More information

FCUDA-NoC: A Scalable and Efficient Network-on-Chip Implementation for the CUDA-to-FPGA Flow

FCUDA-NoC: A Scalable and Efficient Network-on-Chip Implementation for the CUDA-to-FPGA Flow FCUDA-NoC: A Scalable and Efficient Network-on-Chip Implementation for the CUDA-to-FPGA Flow Abstract: High-level synthesis (HLS) of data-parallel input languages, such as the Compute Unified Device Architecture

More information

CAD System Lab Graduate Institute of Electronics Engineering National Taiwan University Taipei, Taiwan, ROC

CAD System Lab Graduate Institute of Electronics Engineering National Taiwan University Taipei, Taiwan, ROC QoS Aware BiNoC Architecture Shih-Hsin Lo, Ying-Cherng Lan, Hsin-Hsien Hsien Yeh, Wen-Chung Tsai, Yu-Hen Hu, and Sao-Jie Chen Ying-Cherng Lan CAD System Lab Graduate Institute of Electronics Engineering

More information

CHAPTER 6 FPGA IMPLEMENTATION OF ARBITERS ALGORITHM FOR NETWORK-ON-CHIP

CHAPTER 6 FPGA IMPLEMENTATION OF ARBITERS ALGORITHM FOR NETWORK-ON-CHIP 133 CHAPTER 6 FPGA IMPLEMENTATION OF ARBITERS ALGORITHM FOR NETWORK-ON-CHIP 6.1 INTRODUCTION As the era of a billion transistors on a one chip approaches, a lot of Processing Elements (PEs) could be located

More information

Routing Algorithms, Process Model for Quality of Services (QoS) and Architectures for Two-Dimensional 4 4 Mesh Topology Network-on-Chip

Routing Algorithms, Process Model for Quality of Services (QoS) and Architectures for Two-Dimensional 4 4 Mesh Topology Network-on-Chip Routing Algorithms, Process Model for Quality of Services (QoS) and Architectures for Two-Dimensional 4 4 Mesh Topology Network-on-Chip Nauman Jalil, Adnan Qureshi, Furqan Khan, and Sohaib Ayyaz Qazi Abstract

More information

HARDWARE IMPLEMENTATION OF PIPELINE BASED ROUTER DESIGN FOR ON- CHIP NETWORK

HARDWARE IMPLEMENTATION OF PIPELINE BASED ROUTER DESIGN FOR ON- CHIP NETWORK DOI: 10.21917/ijct.2012.0092 HARDWARE IMPLEMENTATION OF PIPELINE BASED ROUTER DESIGN FOR ON- CHIP NETWORK U. Saravanakumar 1, R. Rangarajan 2 and K. Rajasekar 3 1,3 Department of Electronics and Communication

More information

OpenSMART: Single-cycle Multi-hop NoC Generator in BSV and Chisel

OpenSMART: Single-cycle Multi-hop NoC Generator in BSV and Chisel OpenSMART: Single-cycle Multi-hop NoC Generator in BSV and Chisel Hyoukjun Kwon and Tushar Krishna Georgia Institute of Technology Synergy Lab (http://synergy.ece.gatech.edu) hyoukjun@gatech.edu April

More information

OASIS Network-on-Chip Prototyping on FPGA

OASIS Network-on-Chip Prototyping on FPGA Master thesis of the University of Aizu, Feb. 20, 2012 OASIS Network-on-Chip Prototyping on FPGA m5141120, Kenichi Mori Supervised by Prof. Ben Abdallah Abderazek Adaptive Systems Laboratory, Master of

More information

Basic Low Level Concepts

Basic Low Level Concepts Course Outline Basic Low Level Concepts Case Studies Operation through multiple switches: Topologies & Routing v Direct, indirect, regular, irregular Formal models and analysis for deadlock and livelock

More information

FPGA based Design of Low Power Reconfigurable Router for Network on Chip (NoC)

FPGA based Design of Low Power Reconfigurable Router for Network on Chip (NoC) FPGA based Design of Low Power Reconfigurable Router for Network on Chip (NoC) D.Udhayasheela, pg student [Communication system],dept.ofece,,as-salam engineering and technology, N.MageshwariAssistant Professor

More information

Design and Implementation of A Reconfigurable Arbiter

Design and Implementation of A Reconfigurable Arbiter Proceedings of the 7th WSEAS International Conference on Signal, Speech and Image Processing, Beijing, China, September 15-17, 2007 100 Design and Implementation of A Reconfigurable Arbiter YU-JUNG HUANG,

More information

SoC Design. Prof. Dr. Christophe Bobda Institut für Informatik Lehrstuhl für Technische Informatik

SoC Design. Prof. Dr. Christophe Bobda Institut für Informatik Lehrstuhl für Technische Informatik SoC Design Prof. Dr. Christophe Bobda Institut für Informatik Lehrstuhl für Technische Informatik Chapter 5 On-Chip Communication Outline 1. Introduction 2. Shared media 3. Switched media 4. Network on

More information

Real Time NoC Based Pipelined Architectonics With Efficient TDM Schema

Real Time NoC Based Pipelined Architectonics With Efficient TDM Schema Real Time NoC Based Pipelined Architectonics With Efficient TDM Schema [1] Laila A, [2] Ajeesh R V [1] PG Student [VLSI & ES] [2] Assistant professor, Department of ECE, TKM Institute of Technology, Kollam

More information

Network-on-Chip Architecture

Network-on-Chip Architecture Multiple Processor Systems(CMPE-655) Network-on-Chip Architecture Performance aspect and Firefly network architecture By Siva Shankar Chandrasekaran and SreeGowri Shankar Agenda (Enhancing performance)

More information

1GbEth. Access Switch. 1GbEth. Workgroup Switch. 10MbEth. Figure 1: Enterprise LAN Topology Example

1GbEth. Access Switch. 1GbEth. Workgroup Switch. 10MbEth. Figure 1: Enterprise LAN Topology Example 1 Introduction Ethernet is available in different speeds (10 and 100Mbps) and provides connectivity to meet a wide range of needs and from desktop to switches. MorethanIP IP solutions provide a solution

More information

Achieving Lightweight Multicast in Asynchronous Networks-on-Chip Using Local Speculation

Achieving Lightweight Multicast in Asynchronous Networks-on-Chip Using Local Speculation Achieving Lightweight Multicast in Asynchronous Networks-on-Chip Using Local Speculation Kshitij Bhardwaj Dept. of Computer Science Columbia University Steven M. Nowick 2016 ACM/IEEE Design Automation

More information

INT 1011 TCP Offload Engine (Full Offload)

INT 1011 TCP Offload Engine (Full Offload) INT 1011 TCP Offload Engine (Full Offload) Product brief, features and benefits summary Provides lowest Latency and highest bandwidth. Highly customizable hardware IP block. Easily portable to ASIC flow,

More information

NEtwork-on-Chip (NoC) [3], [6] is a scalable interconnect

NEtwork-on-Chip (NoC) [3], [6] is a scalable interconnect 1 A Soft Tolerant Network-on-Chip Router Pipeline for Multi-core Systems Pavan Poluri and Ahmed Louri Department of Electrical and Computer Engineering, University of Arizona Email: pavanp@email.arizona.edu,

More information

BARP-A Dynamic Routing Protocol for Balanced Distribution of Traffic in NoCs

BARP-A Dynamic Routing Protocol for Balanced Distribution of Traffic in NoCs -A Dynamic Routing Protocol for Balanced Distribution of Traffic in NoCs Pejman Lotfi-Kamran, Masoud Daneshtalab *, Caro Lucas, and Zainalabedin Navabi School of Electrical and Computer Engineering, The

More information

On Packet Switched Networks for On-Chip Communication

On Packet Switched Networks for On-Chip Communication On Packet Switched Networks for On-Chip Communication Embedded Systems Group Department of Electronics and Computer Engineering School of Engineering, Jönköping University Jönköping 1 Outline : Part 1

More information

INT G bit TCP Offload Engine SOC

INT G bit TCP Offload Engine SOC INT 10011 10 G bit TCP Offload Engine SOC Product brief, features and benefits summary: Highly customizable hardware IP block. Easily portable to ASIC flow, Xilinx/Altera FPGAs or Structured ASIC flow.

More information

Network on Chip Architecture: An Overview

Network on Chip Architecture: An Overview Network on Chip Architecture: An Overview Md Shahriar Shamim & Naseef Mansoor 12/5/2014 1 Overview Introduction Multi core chip Challenges Network on Chip Architecture Regular Topology Irregular Topology

More information

MinRoot and CMesh: Interconnection Architectures for Network-on-Chip Systems

MinRoot and CMesh: Interconnection Architectures for Network-on-Chip Systems MinRoot and CMesh: Interconnection Architectures for Network-on-Chip Systems Mohammad Ali Jabraeil Jamali, Ahmad Khademzadeh Abstract The success of an electronic system in a System-on- Chip is highly

More information

NETWORK ON CHIP TO IMPLEMENT THE SYSTEM-LEVEL COMMUNICATION SIMPLIFIES THE DISTRIBUTION OF I/O DATA THROUGHOUT THE CHIP, AND IS ALWAYS

NETWORK ON CHIP TO IMPLEMENT THE SYSTEM-LEVEL COMMUNICATION SIMPLIFIES THE DISTRIBUTION OF I/O DATA THROUGHOUT THE CHIP, AND IS ALWAYS ... THE CASE FOR EMBEDDED NETWORKS ON CHIP ON FIELD-PROGRAMMABLE GATE ARRAYS... THE AUTHORS PROPOSE AUGMENTING THE FPGA ARCHITECTURE WITH AN EMBEDDED NETWORK ON CHIP TO IMPLEMENT THE SYSTEM-LEVEL COMMUNICATION

More information

Lecture 18: Communication Models and Architectures: Interconnection Networks

Lecture 18: Communication Models and Architectures: Interconnection Networks Design & Co-design of Embedded Systems Lecture 18: Communication Models and Architectures: Interconnection Networks Sharif University of Technology Computer Engineering g Dept. Winter-Spring 2008 Mehdi

More information

Design of a System-on-Chip Switched Network and its Design Support Λ

Design of a System-on-Chip Switched Network and its Design Support Λ Design of a System-on-Chip Switched Network and its Design Support Λ Daniel Wiklund y, Dake Liu Dept. of Electrical Engineering Linköping University S-581 83 Linköping, Sweden Abstract As the degree of

More information

Performance Analysis of Routing Algorithms

Performance Analysis of Routing Algorithms International Journal Scientific & Engineering Research Volume 3, Issue 12, December-2012 1 Performance Analysis Routing Algorithms Mr. Lokesh M. Heda Shri Ramdeobaba, College Engineering and Management,

More information

Deadlock-free XY-YX router for on-chip interconnection network

Deadlock-free XY-YX router for on-chip interconnection network LETTER IEICE Electronics Express, Vol.10, No.20, 1 5 Deadlock-free XY-YX router for on-chip interconnection network Yeong Seob Jeong and Seung Eun Lee a) Dept of Electronic Engineering Seoul National Univ

More information

Introduction to the Qsys System Integration Tool

Introduction to the Qsys System Integration Tool Introduction to the Qsys System Integration Tool Course Description This course will teach you how to quickly build designs for Altera FPGAs using Altera s Qsys system-level integration tool. You will

More information

Design of Synchronous NoC Router for System-on-Chip Communication and Implement in FPGA using VHDL

Design of Synchronous NoC Router for System-on-Chip Communication and Implement in FPGA using VHDL Available Online at www.ijcsmc.com International Journal of Computer Science and Mobile Computing A Monthly Journal of Computer Science and Information Technology ISSN 2320 088X IJCSMC, Vol. 2, Issue.

More information

Design of network adapter compatible OCP for high-throughput NOC

Design of network adapter compatible OCP for high-throughput NOC Applied Mechanics and Materials Vols. 313-314 (2013) pp 1341-1346 Online available since 2013/Mar/25 at www.scientific.net (2013) Trans Tech Publications, Switzerland doi:10.4028/www.scientific.net/amm.313-314.1341

More information

Design and Implementation of Buffer Loan Algorithm for BiNoC Router

Design and Implementation of Buffer Loan Algorithm for BiNoC Router Design and Implementation of Buffer Loan Algorithm for BiNoC Router Deepa S Dev Student, Department of Electronics and Communication, Sree Buddha College of Engineering, University of Kerala, Kerala, India

More information

Design and Implementation of a Packet Switched Dynamic Buffer Resize Router on FPGA Vivek Raj.K 1 Prasad Kumar 2 Shashi Raj.K 3

Design and Implementation of a Packet Switched Dynamic Buffer Resize Router on FPGA Vivek Raj.K 1 Prasad Kumar 2 Shashi Raj.K 3 IJSRD - International Journal for Scientific Research & Development Vol. 2, Issue 02, 2014 ISSN (online): 2321-0613 Design and Implementation of a Packet Switched Dynamic Buffer Resize Router on FPGA Vivek

More information

NoC Test-Chip Project: Working Document

NoC Test-Chip Project: Working Document NoC Test-Chip Project: Working Document Michele Petracca, Omar Ahmad, Young Jin Yoon, Frank Zovko, Luca Carloni and Kenneth Shepard I. INTRODUCTION This document describes the low-power high-performance

More information

International Journal of Research and Innovation in Applied Science (IJRIAS) Volume I, Issue IX, December 2016 ISSN

International Journal of Research and Innovation in Applied Science (IJRIAS) Volume I, Issue IX, December 2016 ISSN Comparative Analysis of Latency, Throughput and Network Power for West First, North Last and West First North Last Routing For 2D 4 X 4 Mesh Topology NoC Architecture Bhupendra Kumar Soni 1, Dr. Girish

More information

C H A P T E R GIGABIT ETHERNET PROTOCOL

C H A P T E R GIGABIT ETHERNET PROTOCOL C H A P T E R GIGABIT ETHERNET PROTOCOL 2 39 2.1 Objectives of Research Ethernet protocol has been a robust and dominant technology and it is found to be present on more than 90 percent of all networked

More information

DESIGN A APPLICATION OF NETWORK-ON-CHIP USING 8-PORT ROUTER

DESIGN A APPLICATION OF NETWORK-ON-CHIP USING 8-PORT ROUTER G MAHESH BABU, et al, Volume 2, Issue 7, PP:, SEPTEMBER 2014. DESIGN A APPLICATION OF NETWORK-ON-CHIP USING 8-PORT ROUTER G.Mahesh Babu 1*, Prof. Ch.Srinivasa Kumar 2* 1. II. M.Tech (VLSI), Dept of ECE,

More information

Design of Reconfigurable Router for NOC Applications Using Buffer Resizing Techniques

Design of Reconfigurable Router for NOC Applications Using Buffer Resizing Techniques Design of Reconfigurable Router for NOC Applications Using Buffer Resizing Techniques Nandini Sultanpure M.Tech (VLSI Design and Embedded System), Dept of Electronics and Communication Engineering, Lingaraj

More information

Applying the Benefits of Network on a Chip Architecture to FPGA System Design

Applying the Benefits of Network on a Chip Architecture to FPGA System Design white paper Intel FPGA Applying the Benefits of on a Chip Architecture to FPGA System Design Authors Kent Orthner Senior Manager, Software and IP Intel Corporation Table of Contents Abstract...1 Introduction...1

More information

ISSN Vol.03, Issue.02, March-2015, Pages:

ISSN Vol.03, Issue.02, March-2015, Pages: ISSN 2322-0929 Vol.03, Issue.02, March-2015, Pages:0122-0126 www.ijvdcs.org Design and Simulation Five Port Router using Verilog HDL CH.KARTHIK 1, R.S.UMA SUSEELA 2 1 PG Scholar, Dept of VLSI, Gokaraju

More information

ScienceDirect. Packet-based Adaptive Virtual Channel Configuration for NoC Systems

ScienceDirect. Packet-based Adaptive Virtual Channel Configuration for NoC Systems Available online at www.sciencedirect.com ScienceDirect Procedia Computer Science 34 (2014 ) 552 558 2014 International Workshop on the Design and Performance of Network on Chip (DPNoC 2014) Packet-based

More information

SONA: An On-Chip Network for Scalable Interconnection of AMBA-Based IPs*

SONA: An On-Chip Network for Scalable Interconnection of AMBA-Based IPs* SONA: An On-Chip Network for Scalable Interconnection of AMBA-Based IPs* Eui Bong Jung 1, Han Wook Cho 1, Neungsoo Park 2, and Yong Ho Song 1 1 College of Information and Communications, Hanyang University,

More information

OASIS NoC Architecture Design in Verilog HDL Technical Report: TR OASIS

OASIS NoC Architecture Design in Verilog HDL Technical Report: TR OASIS OASIS NoC Architecture Design in Verilog HDL Technical Report: TR-062010-OASIS Written by Kenichi Mori ASL-Ben Abdallah Group Graduate School of Computer Science and Engineering The University of Aizu

More information

Noc Evolution and Performance Optimization by Addition of Long Range Links: A Survey. By Naveen Choudhary & Vaishali Maheshwari

Noc Evolution and Performance Optimization by Addition of Long Range Links: A Survey. By Naveen Choudhary & Vaishali Maheshwari Global Journal of Computer Science and Technology: E Network, Web & Security Volume 15 Issue 6 Version 1.0 Year 2015 Type: Double Blind Peer Reviewed International Research Journal Publisher: Global Journals

More information

Improving Routing Efficiency for Network-on-Chip through Contention-Aware Input Selection

Improving Routing Efficiency for Network-on-Chip through Contention-Aware Input Selection Improving Routing Efficiency for Network-on-Chip through Contention-Aware Input Selection Dong Wu, Bashir M. Al-Hashimi, Marcus T. Schmitz School of Electronics and Computer Science University of Southampton

More information

High Performance Interconnect and NoC Router Design

High Performance Interconnect and NoC Router Design High Performance Interconnect and NoC Router Design Brinda M M.E Student, Dept. of ECE (VLSI Design) K.Ramakrishnan College of Technology Samayapuram, Trichy 621 112 brinda18th@gmail.com Devipoonguzhali

More information

SoC Design Lecture 13: NoC (Network-on-Chip) Department of Computer Engineering Sharif University of Technology

SoC Design Lecture 13: NoC (Network-on-Chip) Department of Computer Engineering Sharif University of Technology SoC Design Lecture 13: NoC (Network-on-Chip) Department of Computer Engineering Sharif University of Technology Outline SoC Interconnect NoC Introduction NoC layers Typical NoC Router NoC Issues Switching

More information

A Dynamic NOC Arbitration Technique using Combination of VCT and XY Routing

A Dynamic NOC Arbitration Technique using Combination of VCT and XY Routing 727 A Dynamic NOC Arbitration Technique using Combination of VCT and XY Routing 1 Bharati B. Sayankar, 2 Pankaj Agrawal 1 Electronics Department, Rashtrasant Tukdoji Maharaj Nagpur University, G.H. Raisoni

More information

FPGA BASED ADAPTIVE RESOURCE EFFICIENT ERROR CONTROL METHODOLOGY FOR NETWORK ON CHIP

FPGA BASED ADAPTIVE RESOURCE EFFICIENT ERROR CONTROL METHODOLOGY FOR NETWORK ON CHIP FPGA BASED ADAPTIVE RESOURCE EFFICIENT ERROR CONTROL METHODOLOGY FOR NETWORK ON CHIP 1 M.DEIVAKANI, 2 D.SHANTHI 1 Associate Professor, Department of Electronics and Communication Engineering PSNA College

More information

1GbEth. Access Switch. Workgroup Switch. 10MbEth. Figure 1: Enterprise LAN Topology Example

1GbEth. Access Switch. Workgroup Switch. 10MbEth. Figure 1: Enterprise LAN Topology Example 1 Introduction Ethernet is available in different speeds (10/100/1000 and 10000Mbps) and provides connectivity to meet a wide range of needs from desktop to switches. MorethanIP IP solutions provide a

More information

Introduction of the Research Based on FPGA at NICS

Introduction of the Research Based on FPGA at NICS Introduction of the Research Based on FPGA at NICS Rong Luo Nano Integrated Circuits and Systems Lab, Department of Electronic Engineering, Tsinghua University Beijing, 100084, China 1 luorong@tsinghua.edu.cn

More information

The Benefits of Using Clock Gating in the Design of Networks-on-Chip

The Benefits of Using Clock Gating in the Design of Networks-on-Chip The Benefits of Using Clock Gating in the Design of Networks-on-Chip Michele Petracca, Luca P. Carloni Dept. of Computer Science, Columbia University, New York, NY 127 Abstract Networks-on-chip (NoC) are

More information

Design and Analysis of On-Chip Router for Network On Chip

Design and Analysis of On-Chip Router for Network On Chip Design and Analysis of On-Chip Router for Network On Chip Ms. A.S. Kale #1 M.Tech IInd yr, Electronics Department, Bapurao Deshmukh college of engineering, Wardha M. S.India Prof. M.A.Gaikwad #2 Professor,

More information

Fault Tolerant and Secure Architectures for On Chip Networks With Emerging Interconnect Technologies. Mohsin Y Ahmed Conlan Wesson

Fault Tolerant and Secure Architectures for On Chip Networks With Emerging Interconnect Technologies. Mohsin Y Ahmed Conlan Wesson Fault Tolerant and Secure Architectures for On Chip Networks With Emerging Interconnect Technologies Mohsin Y Ahmed Conlan Wesson Overview NoC: Future generation of many core processor on a single chip

More information

Network on Chip Architectures BY JAGAN MURALIDHARAN NIRAJ VASUDEVAN

Network on Chip Architectures BY JAGAN MURALIDHARAN NIRAJ VASUDEVAN Network on Chip Architectures BY JAGAN MURALIDHARAN NIRAJ VASUDEVAN Multi Core Chips No more single processor systems High computational power requirements Increasing clock frequency increases power dissipation

More information

VLSI D E S. Siddhardha Pottepalem

VLSI D E S. Siddhardha Pottepalem HESIS UBMITTED IN ARTIAL ULFILLMENT OF THE EQUIREMENTS FOR THE EGREE OF M T IN VLSI D E S BY Siddhardha Pottepalem EPARTMENT OF LECTRONICS AND OMMUNICATION NGINEERING ATIONAL NSTITUTE OF ECHNOLOGY OURKELA

More information

A VERIOG-HDL IMPLEMENTATION OF VIRTUAL CHANNELS IN A NETWORK-ON-CHIP ROUTER. A Thesis SUNGHO PARK

A VERIOG-HDL IMPLEMENTATION OF VIRTUAL CHANNELS IN A NETWORK-ON-CHIP ROUTER. A Thesis SUNGHO PARK A VERIOG-HDL IMPLEMENTATION OF VIRTUAL CHANNELS IN A NETWORK-ON-CHIP ROUTER A Thesis by SUNGHO PARK Submitted to the Office of Graduate Studies of Texas A&M University in partial fulfillment of the requirements

More information

Power and Performance Efficient Partial Circuits in Packet-Switched Networks-on-Chip

Power and Performance Efficient Partial Circuits in Packet-Switched Networks-on-Chip 2013 21st Euromicro International Conference on Parallel, Distributed, and Network-Based Processing Power and Performance Efficient Partial Circuits in Packet-Switched Networks-on-Chip Nasibeh Teimouri

More information

The Nios II Family of Configurable Soft-core Processors

The Nios II Family of Configurable Soft-core Processors The Nios II Family of Configurable Soft-core Processors James Ball August 16, 2005 2005 Altera Corporation Agenda Nios II Introduction Configuring your CPU FPGA vs. ASIC CPU Design Instruction Set Architecture

More information

ReNoC: A Network-on-Chip Architecture with Reconfigurable Topology

ReNoC: A Network-on-Chip Architecture with Reconfigurable Topology 1 ReNoC: A Network-on-Chip Architecture with Reconfigurable Topology Mikkel B. Stensgaard and Jens Sparsø Technical University of Denmark Technical University of Denmark Outline 2 Motivation ReNoC Basic

More information

Ethernet Switch. WAN Gateway. Figure 1: Switched LAN Example

Ethernet Switch. WAN Gateway. Figure 1: Switched LAN Example 1 Introduction An Ethernet switch is used to interconnect a number of Ethernet LANs (Local Area Networks), forming a large Ethernet network. Different ports of the switch are connected to different LAN

More information

Design of AHB Arbiter with Effective Arbitration Logic for DMA Controller in AMBA Bus

Design of AHB Arbiter with Effective Arbitration Logic for DMA Controller in AMBA Bus www.semargroups.org, www.ijsetr.com ISSN 2319-8885 Vol.02,Issue.08, August-2013, Pages:769-772 Design of AHB Arbiter with Effective Arbitration Logic for DMA Controller in AMBA Bus P.GOUTHAMI 1, Y.PRIYANKA

More information

LOW POWER REDUCED ROUTER NOC ARCHITECTURE DESIGN WITH CLASSICAL BUS BASED SYSTEM

LOW POWER REDUCED ROUTER NOC ARCHITECTURE DESIGN WITH CLASSICAL BUS BASED SYSTEM Available Online at www.ijcsmc.com International Journal of Computer Science and Mobile Computing A Monthly Journal of Computer Science and Information Technology IJCSMC, Vol. 4, Issue. 5, May 2015, pg.705

More information

Ultra-Fast NoC Emulation on a Single FPGA

Ultra-Fast NoC Emulation on a Single FPGA The 25 th International Conference on Field-Programmable Logic and Applications (FPL 2015) September 3, 2015 Ultra-Fast NoC Emulation on a Single FPGA Thiem Van Chu, Shimpei Sato, and Kenji Kise Tokyo

More information

Trade Offs in the Design of a Router with Both Guaranteed and Best-Effort Services for Networks on Chip

Trade Offs in the Design of a Router with Both Guaranteed and Best-Effort Services for Networks on Chip Trade Offs in the Design of a Router with Both Guaranteed and BestEffort Services for Networks on Chip E. Rijpkema, K. Goossens, A. R dulescu, J. Dielissen, J. van Meerbergen, P. Wielage, and E. Waterlander

More information

A Reconfigurable Crossbar Switch with Adaptive Bandwidth Control for Networks-on

A Reconfigurable Crossbar Switch with Adaptive Bandwidth Control for Networks-on A Reconfigurable Crossbar Switch with Adaptive Bandwidth Control for Networks-on on-chip Donghyun Kim, Kangmin Lee, Se-joong Lee and Hoi-Jun Yoo Semiconductor System Laboratory, Dept. of EECS, Korea Advanced

More information

The RM9150 and the Fast Device Bus High Speed Interconnect

The RM9150 and the Fast Device Bus High Speed Interconnect The RM9150 and the Fast Device High Speed Interconnect John R. Kinsel Principal Engineer www.pmc -sierra.com 1 August 2004 Agenda CPU-based SOC Design Challenges Fast Device (FDB) Overview Generic Device

More information

Improving Fault Tolerance of Network-on-Chip Links via Minimal Redundancy and Reconfiguration

Improving Fault Tolerance of Network-on-Chip Links via Minimal Redundancy and Reconfiguration Improving Fault Tolerance of Network-on-Chip Links via Minimal Redundancy and Reconfiguration Hamed S. Kia, and Cristinel Ababei Department of Electrical and Computer Engineering North Dakota State University

More information

Fast Flexible FPGA-Tuned Networks-on-Chip

Fast Flexible FPGA-Tuned Networks-on-Chip This work was funded by NSF. We thank Xilinx for their FPGA and tool donations. We thank Bluespec for their tool donations. Fast Flexible FPGA-Tuned Networks-on-Chip Michael K. Papamichael, James C. Hoe

More information

Demand Based Routing in Network-on-Chip(NoC)

Demand Based Routing in Network-on-Chip(NoC) Demand Based Routing in Network-on-Chip(NoC) Kullai Reddy Meka and Jatindra Kumar Deka Department of Computer Science and Engineering, Indian Institute of Technology Guwahati, Guwahati, India Abstract

More information

Design and Verification of Network Router

Design and Verification of Network Router Design and Verification of Network Router 1 G.V.Ravikrishna, 2 M. KiranKumar 1 M.Tech. Scholar, 2 Assistant Professor Department of ECE, ANURAG Group of Institutions, Andhra Pradesh, India 1 gvravikrishna@gmail.com,

More information

Design of a router for network-on-chip. Jun Ho Bahn,* Seung Eun Lee and Nader Bagherzadeh

Design of a router for network-on-chip. Jun Ho Bahn,* Seung Eun Lee and Nader Bagherzadeh 98 Int. J. High Performance Systems Architecture, Vol. 1, No. 2, 27 Design of a router for network-on-chip Jun Ho Bahn,* Seung Eun Lee and Nader Bagherzadeh Department of Electrical Engineering and Computer

More information

WITH the development of the semiconductor technology,

WITH the development of the semiconductor technology, Dual-Link Hierarchical Cluster-Based Interconnect Architecture for 3D Network on Chip Guang Sun, Yong Li, Yuanyuan Zhang, Shijun Lin, Li Su, Depeng Jin and Lieguang zeng Abstract Network on Chip (NoC)

More information

Fault-Tolerant Multiple Task Migration in Mesh NoC s over virtual Point-to-Point connections

Fault-Tolerant Multiple Task Migration in Mesh NoC s over virtual Point-to-Point connections Fault-Tolerant Multiple Task Migration in Mesh NoC s over virtual Point-to-Point connections A.SAI KUMAR MLR Group of Institutions Dundigal,INDIA B.S.PRIYANKA KUMARI CMR IT Medchal,INDIA Abstract Multiple

More information

Re-Examining Conventional Wisdom for Networks-on-Chip in the Context of FPGAs

Re-Examining Conventional Wisdom for Networks-on-Chip in the Context of FPGAs This work was funded by NSF. We thank Xilinx for their FPGA and tool donations. We thank Bluespec for their tool donations. Re-Examining Conventional Wisdom for Networks-on-Chip in the Context of FPGAs

More information

AS THE semiconductor technology scales down and the

AS THE semiconductor technology scales down and the IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 45, NO. 7, JULY 2010 1399 A 118.4 GB/s Multi-Casting Network-on-Chip With Hierarchical Star-Ring Combined Topology for Real-Time Object Recognition Joo-Young

More information

OpenSMART: An Opensource Singlecycle Multi-hop NoC Generator

OpenSMART: An Opensource Singlecycle Multi-hop NoC Generator OpenSMART: An Opensource Singlecycle Multi-hop NoC Generator Hyoukjun Kwon and Tushar Krishna Georgia Institute of Technology Synergy Lab (http://synergy.ece.gatech.edu) OpenSMART (https://tinyurl.com/get-opensmart)

More information

SEMICON Solutions. Bus Structure. Created by: Duong Dang Date: 20 th Oct,2010

SEMICON Solutions. Bus Structure. Created by: Duong Dang Date: 20 th Oct,2010 SEMICON Solutions Bus Structure Created by: Duong Dang Date: 20 th Oct,2010 Introduction Buses are the simplest and most widely used interconnection networks A number of modules is connected via a single

More information

A New CDMA Encoding/Decoding Method for on- Chip Communication Network

A New CDMA Encoding/Decoding Method for on- Chip Communication Network A New CDMA Encoding/Decoding Method for on- Chip Communication Network Abstract: As a high performance on-chip communication method, the code division multiple access (CDMA) technique has recently been

More information

Performance Explorations of Multi-Core Network on Chip Router

Performance Explorations of Multi-Core Network on Chip Router Performance Explorations of Multi-Core Network on Chip Router U.Saravanakumar Department of Electronics and Communication Engineering PSG College of Technology Coimbatore, India saran.usk@gmail.com R.

More information

SD Card Controller IP Specification

SD Card Controller IP Specification SD Card Controller IP Specification Marek Czerski Friday 30 th August, 2013 1 List of Figures 1 SoC with SD Card IP core................................ 4 2 Wishbone SD Card Controller IP Core interface....................

More information

A Novel Energy Efficient Source Routing for Mesh NoCs

A Novel Energy Efficient Source Routing for Mesh NoCs 2014 Fourth International Conference on Advances in Computing and Communications A ovel Energy Efficient Source Routing for Mesh ocs Meril Rani John, Reenu James, John Jose, Elizabeth Isaac, Jobin K. Antony

More information

A Flexible Design of Network on Chip Router based on Handshaking Communication Mechanism

A Flexible Design of Network on Chip Router based on Handshaking Communication Mechanism A Flexible Design of Network on Chip Router based on Handshaking Communication Mechanism Seyyed Amir Asghari, Hossein Pedram and Mohammad Khademi 2 Amirkabir University of Technology 2 Shahid Beheshti

More information

Available online at ScienceDirect. Procedia Computer Science 89 (2016 )

Available online at  ScienceDirect. Procedia Computer Science 89 (2016 ) Available online at www.sciencedirect.com ScienceDirect Procedia Computer Science 89 (2016 ) 180 186 Twelfth International Multi-Conference on Information Processing-2016 (IMCIP-2016) A Perspective on

More information

DEVELOPMENT AND VERIFICATION OF AHB2APB BRIDGE PROTOCOL USING UVM TECHNIQUE

DEVELOPMENT AND VERIFICATION OF AHB2APB BRIDGE PROTOCOL USING UVM TECHNIQUE DEVELOPMENT AND VERIFICATION OF AHB2APB BRIDGE PROTOCOL USING UVM TECHNIQUE N.G.N.PRASAD Assistant Professor K.I.E.T College, Korangi Abstract: The AMBA AHB is for high-performance, high clock frequency

More information

Buses. Maurizio Palesi. Maurizio Palesi 1

Buses. Maurizio Palesi. Maurizio Palesi 1 Buses Maurizio Palesi Maurizio Palesi 1 Introduction Buses are the simplest and most widely used interconnection networks A number of modules is connected via a single shared channel Microcontroller Microcontroller

More information

INT-1010 TCP Offload Engine

INT-1010 TCP Offload Engine INT-1010 TCP Offload Engine Product brief, features and benefits summary Highly customizable hardware IP block. Easily portable to ASIC flow, Xilinx or Altera FPGAs INT-1010 is highly flexible that is

More information

Implementing Flexible Interconnect Topologies for Machine Learning Acceleration

Implementing Flexible Interconnect Topologies for Machine Learning Acceleration Implementing Flexible Interconnect for Machine Learning Acceleration A R M T E C H S Y M P O S I A O C T 2 0 1 8 WILLIAM TSENG Mem Controller 20 mm Mem Controller Machine Learning / AI SoC New Challenges

More information

SAMBA-BUS: A HIGH PERFORMANCE BUS ARCHITECTURE FOR SYSTEM-ON-CHIPS Λ. Ruibing Lu and Cheng-Kok Koh

SAMBA-BUS: A HIGH PERFORMANCE BUS ARCHITECTURE FOR SYSTEM-ON-CHIPS Λ. Ruibing Lu and Cheng-Kok Koh BUS: A HIGH PERFORMANCE BUS ARCHITECTURE FOR SYSTEM-ON-CHIPS Λ Ruibing Lu and Cheng-Kok Koh School of Electrical and Computer Engineering Purdue University, West Lafayette, IN 797- flur,chengkokg@ecn.purdue.edu

More information

Embedded Systems: Hardware Components (part II) Todor Stefanov

Embedded Systems: Hardware Components (part II) Todor Stefanov Embedded Systems: Hardware Components (part II) Todor Stefanov Leiden Embedded Research Center, Leiden Institute of Advanced Computer Science Leiden University, The Netherlands Outline Generic Embedded

More information

Interconnection Structures. Patrick Happ Raul Queiroz Feitosa

Interconnection Structures. Patrick Happ Raul Queiroz Feitosa Interconnection Structures Patrick Happ Raul Queiroz Feitosa Objective To present key issues that affect interconnection design. Interconnection Structures 2 Outline Introduction Computer Busses Bus Types

More information

Final Presentation. Network on Chip (NoC) for Many-Core System on Chip in Space Applications. December 13, 2017

Final Presentation. Network on Chip (NoC) for Many-Core System on Chip in Space Applications. December 13, 2017 Final Presentation Network on Chip (NoC) for Many-Core System on Chip in Space Applications December 13, 2017 Dr. ir. Gerard Rauwerda Gerard.Rauwerda@recoresystems.com NoC round table Network-on-Chip (NoC)

More information

A Hybrid Interconnection Network for Integrated Communication Services

A Hybrid Interconnection Network for Integrated Communication Services A Hybrid Interconnection Network for Integrated Communication Services Yi-long Chen Northern Telecom, Inc. Richardson, TX 7583 kchen@nortel.com Jyh-Charn Liu Department of Computer Science, Texas A&M Univ.

More information

Efficient And Advance Routing Logic For Network On Chip

Efficient And Advance Routing Logic For Network On Chip RESEARCH ARTICLE OPEN ACCESS Efficient And Advance Logic For Network On Chip Mr. N. Subhananthan PG Student, Electronics And Communication Engg. Madha Engineering College Kundrathur, Chennai 600 069 Email

More information

Design And Verification of 10X10 Router For NOC Applications

Design And Verification of 10X10 Router For NOC Applications Design And Verification of 10X10 Router For NOC Applications 1 Yasmeen Fathima, 2 B.V.KRISHNAVENI, 3 L.Suneel 2,3 Assistant Professor 1,2,3 CMR Institute of Technology, Medchal Road, Hyderabad, Telangana,

More information

DESIGN AND PERFORMANCE EVALUATION OF ON CHIP NETWORK ROUTERS

DESIGN AND PERFORMANCE EVALUATION OF ON CHIP NETWORK ROUTERS DESIGN AND PERFORMANCE EVALUATION OF ON CHIP NETWORK ROUTERS 1 U.SARAVANAKUMAR, 2 R.RANGARAJAN 1 Asst Prof., Department of ECE, PSG College of Technology, Coimbatore, INDIA 2 Professor & Principal, Indus

More information

SerialLite III Streaming IP Core Design Example User Guide for Intel Stratix 10 Devices

SerialLite III Streaming IP Core Design Example User Guide for Intel Stratix 10 Devices SerialLite III Streaming IP Core Design Example User Guide for Intel Stratix 10 Devices Updated for Intel Quartus Prime Design Suite: 17.1 Stratix 10 ES Editions Subscribe Send Feedback Latest document

More information

An Efficient Design of Serial Communication Module UART Using Verilog HDL

An Efficient Design of Serial Communication Module UART Using Verilog HDL An Efficient Design of Serial Communication Module UART Using Verilog HDL Pogaku Indira M.Tech in VLSI and Embedded Systems, Siddhartha Institute of Engineering and Technology. Dr.D.Subba Rao, M.Tech,

More information

AREA-EFFICIENT DESIGN OF SCHEDULER FOR ROUTING NODE OF NETWORK-ON-CHIP

AREA-EFFICIENT DESIGN OF SCHEDULER FOR ROUTING NODE OF NETWORK-ON-CHIP AREA-EFFICIENT DESIGN OF SCHEDULER FOR ROUTING NODE OF NETWORK-ON-CHIP Rehan Maroofi, 1 V. N. Nitnaware, 2 and Dr. S. S. Limaye 3 1 Department of Electronics, Ramdeobaba Kamla Nehru College of Engg, Nagpur,

More information

Escape Path based Irregular Network-on-chip Simulation Framework

Escape Path based Irregular Network-on-chip Simulation Framework Escape Path based Irregular Network-on-chip Simulation Framework Naveen Choudhary College of technology and Engineering MPUAT Udaipur, India M. S. Gaur Malaviya National Institute of Technology Jaipur,

More information