OASIS Network-on-Chip Prototyping on FPGA

Size: px
Start display at page:

Download "OASIS Network-on-Chip Prototyping on FPGA"

Transcription

1 Master thesis of the University of Aizu, Feb. 20, 2012 OASIS Network-on-Chip Prototyping on FPGA m , Kenichi Mori Supervised by Prof. Ben Abdallah Abderazek Adaptive Systems Laboratory, Master of Computer Science and Engineering in the Graduate School of the University of Aizu, Japan 2012/2/20 MT2011 1

2 Contents Background and Contribution System Architecture ONoC Router design Target Applications SPL Insertion Algorithm Evaluation Results Simulation Parameters Hardware Complexity Topology Optimization Performance Comparison Conclusion 2012/2/20 MT2011 2

3 Background: Network-on-Chip Network on Chip(NoC) provides a way of realizing interconnections on silicon and largely alleviate the limitations of bus-based solutions Processing elements are connected via a packet switched communication network P1 P2 P3 P1 P2 P3 s s s P4 P5 P6 P4 P5 P6 s s s P: Processing element S: Switch Bus-based system Network-on-Chip system 2012/2/20 MT2011 3

4 Background: OASIS Network-on-Chip OASIS 4x4 network architecture OASIS* NoC employs n x m mesh topology Network size can be changed rely on given applications Mesh topology congenitally has unfair communication * A. Ben Abdallah, M.Sowa, Basic Network-on-Chip Interconnection for Future Gigascale MCSoCs Applications: Communication and Computation Orthogonalization, JASSST2006, Dec. 4-9th, /2/20 MT2011 4

5 Motivations Using traffic generators need to define many assumptions and approximations It is not enough to evaluate a NoC router s parameters (switching, scheduling, flow control, Buffer size and routing) effects and trade-offs Not accurate hardware and performance evaluation Mesh topology whose PEs are connected as uniform without consideration of the network load balance It degrades the overall performance significantly 2012/2/20 MT2011 5

6 Contributions Implementation of Optimized NoC (ONoC) on FPGA with Real Applications To evaluate accurate Power consumption, Area utilization and Performance Optimization for given applications with Short Pass Link(SPL) and evaluate accurate hardware complexity and performance 2012/2/20 MT2011 6

7 Contents Background and Contribution System Architecture ONoC Architecture Target Applications SPL Insertion Algorithm Evaluation Results Simulation Parameters Hardware Complexity Topology Optimization Performance Comparison Conclusion 2012/2/20 MT2011 7

8 ONoC Architecture (1/3) Optimized Network-on-Chip(ONoC) is designed based on OASIS NoC ONoC employs following functions Topology Mesh with SPL Routing XY routing Switching Wormhole-like Flow control Stall / go Scheduler Matrix arbiter SPL Topology example: 3x3 mesh with SPL ONoC employs mesh topology with Short Pass Link(SPL) To reduce latency which cause from a lot of hops XY routing is suitable for mesh topology 2012/2/20 MT2011 8

9 ONoC Architecture (2/3) ONoC employs following functions 1 st stage 2 nd stage 3 rd stage Topology Routing Switching Flow control Scheduler Mesh with SPL XY routing Wormhole-like Stall / go Matrix arbiter ONoC router has 3 pipeline stages Figure: ONoC router architecture 1 st stage: has input module which implements buffers module 2 nd stage: includes routing, flow control and Matrix arbiter 3 rd stage: includes Crossbar which forwards flits to neighbor routers 2012/2/20 MT2011 9

10 ONoC Architecture (3/3) Flow control mechanism Arbitration mechanism Matrix arbiter Avoiding buffer overflow method is stall/go When the priority i > j, P(i,j) becomes 1 and P(j, i) become 0 highest Merely state machine is used, and the module block to send packet in upstream router. (a) (b) highest 2012/2/20 MT

11 ONoC Router design RTL view Arbiter Router Input module Input data enter to these modules There are input ports for 5 directions Crossbar Input port and Arbiter output data enter here Arbiter and flow control It output data to Each input port request adequate direction output signal to arbiter and it send s grant signal to them Figure: RTL view output from Quartus II 2012/2/20 MT

12 Target Applications (1/2) Dimension reversal Hotspot Dimension reversal is a communication method based on transposed matrix Each node sends messages to a node with an address of the reversed dimension index Hotspot traffic has particular components receive traffic additionally to the random traffic (1,2) and (2,1) which assumed as receiving high frequently transmission 2012/2/20 MT

13 JPEG encoder Target Applications (2/2) RGB data loading, RGB to YUV conversion, Y, Cr, Cb calculations (derivation, quantization and Huffman encoding), synchronization, JPEG data stream checker and JPEG data storing Y, Cr, Cb calculations are executed in parallel Synchronization module synchronize these three data, so it needs to wait all calculations finish 2012/2/20 MT

14 SPL insertion process SPL insertion Algorithm Formulas The number of SPL decision for measuring communication frequency by calculating the whole communication volume and target communication volume Insert commu. selection Simulation and Insertion distance of communications is calculated by Manhattan distance. The source address is expressed by (ix, iy), and the destination address of j is expressed by (jx, jy). Total cost can calculate by multiply by above two calculations 2012/2/20 MT

15 Contents Background and Contribution System Architecture ONoC Architecture Target Applications SPL Insertion Algorithm Evaluation Results Simulation Parameters Hardware Complexity Topology Optimization Performance Comparison Conclusion 2012/2/20 MT

16 Design and Development Environment Design Tools Dimen. Hotspot JPEG Language: Verilog-HDL Software: Quartus II 11.0 Simulation tool: ModelSim- Altera 6.6 Device: Stratix III FPGA board Target applications Dimension Reversal Hotspot JPEG encoder partitioning Hardware compile RGB bitstream 24'b ; 24'b ; 24'b ; 24'b ; 24'b ; 24'b ; 24'b ; 24'b ; 24'b ; 24'b ; Network size info. Execution time Behavior Model RTL code Synthesis FPGA Hardware complexity NoC parameter Verilog- HDL Quartus II Stratix III 2012/2/20 MT

17 Dimension reversal & Hotspot parameters Parameter Original OASIS ONoC Topology 4x4 Mesh 4x4 Mesh with SPLs Budget 0 5% Flow control Stall/go Stall/go Switching wormhole like wormhole like Routing Algorithm XY routing XY routing Flit size 28bit 28bit Buffer depth 4 4 Input flits 1000/node 1000/node 2012/2/20 MT

18 Dimension reversal complexity OASIS Original ONoC-SPL1 ONoC-SPL2 ONoC-SPL3 Area (ALUTs) 9,008 / 113,600 ( 8 % ) 9,119 / 113,600 ( 8 % ) 9,272 / 113,600 ( 8 % ) 9,605 / 113,600 ( 8 % ) Speed MHz MHz MHz MHz Power Consumption Additional hardware Budget < 5% mw mw mw mw % 2.93% 6.63% 2012/2/20 MT

19 Hotspot complexity OASIS Original ONoC-SPL1 ONoC-SPL2 ONoC-SPL3 Area (ALUTs) 10,041 / 113,600 ( 9 % ) 10,130 / 113,600 ( 9 % ) 10,302 / 113,600 ( 9 % ) 10,911 / 113,600 ( 10 % ) Speed MHz MHz MHz MHz Power Consumption Additional hardware mw mw mw mw % 2.60% 8.66% Budget < 5% 2012/2/20 MT

20 Dimension reversal and Hotspot with SPL insertion Dimension reversal with SPL Hotspot with SPL Communication frequency (3,0) -> (0,3): (0,3) -> (3,0): Distance (3,0) -> (0,3): 6 (0,3) -> (3,0): 6 Communication 2 SPL insert to frequency Distance 2 SPL insert to -(3,0) -> (0,3) -(0,3) -> (3,0) (0,3) -> (1,0): (3,3) -> (1,1): (2,0) -> (2,3): (0,3) -> (1,0): 4 (3,3) -> (1,1): 4 -(0,3) -> (1,0) -(3,3) -> (1,1) 2012/2/20 MT

21 JEPG encoder parameters Parameter Original OASIS ONoC Topology Mesh Mesh with SPLs Budget 0 5% Flow control Stall/go Stall/go Switching wormhole like wormhole like Routing Algorithm XY routing XY routing Flit size 55bit 55bit Buffer depth 4 4 Input data size is 96x96, 27.2KB 2012/2/20 MT

22 JEPG encoder complexity OASIS ONoC-SPL1 ONoC-SPL2 ONoC-SPL3 Area (ALUTs) 28,401 / 113,600 ( 25 % ) 28,733 / 113,600 ( 25 % ) 29,138 / 113,600 ( 26 % ) 29,616 / 113,600 ( 26 % ) Speed MHz MHz MHz MHz Power Consumption Additional hardware Budget < 5% mw mw mw mw % 2.59% 4.28% 2012/2/20 MT

23 JPEG encoder with SPL RGB data Y: d_q_h Cr: d_q_h JPEG out S S 11 S 21 S RGB to RGB 0.09 Cb: d_q_h Synchro nization 0.09 Checker S 00 S S S 30 Communication frequency (0,0) -> (1,0): 0.27 (2,1) -> (2,0): 0.18 (0,0) -> (1,1): 0.09 Distance (0,0) -> (2,1): 3 (1,1) -> (2,0): 2 (0,0) -> (1,1): 2 3 SPL insert to (0,0) -> (2,1) (1,1) -> (2,0) (0,0) -> (1,1) 2012/2/20 MT

24 Throughput (flits/cycle) Throughput comparison ONoC-SPL throughput increase 32.3 % on average /2/20 MT

25 Execution time Performance comparison ONoC-SPL execution time decreased by 30.1 % on average Dimension Reversal (μs) Hotspot(μs) JPEG time (x10^1 ms) 5 0 OASIS ONoC-SPL1 ONoC-SPL2 ONoC-SPL3 2012/2/20 MT

26 Throughput (flits/cycle) JPEG encoder Latency and execution time Comparison Latency (μs) 43.4 % ONoC-SPL 3 reduce 43.4 % Latency, 43.7 % execution time 43.7 % OASIS ONoC-SPL1 ONoC-SPL2 ONoC-SPL3 2012/2/20 MT

27 Conclusion I implemented prototyped ONoC on FPGA, and evaluate performance and hardware complexity SPL insertion algorithm is proposed to reduce the latency I conclude ONoC with SPL, Dimension reversal slightly increased by 2.93% extra hardware, Hotspot increased by 2.60% and JPEG encoder increased by 4.28% The execution time in ONoC, Dimension reversal decreased by 29.7%, Hotspot decreased by 16.9% and JPEG encoder decreased by 43.7%, by SPL insertion and power consumption was slightly increased by 0.49% on average 2012/2/20 MT

28 Future work Research about fully adaptive routing that can increase the throughput and reduce total power consumption 2012/2/20 MT

29 Thank you for listening 2012/2/20 MT

OASIS NoC Architecture Design in Verilog HDL Technical Report: TR OASIS

OASIS NoC Architecture Design in Verilog HDL Technical Report: TR OASIS OASIS NoC Architecture Design in Verilog HDL Technical Report: TR-062010-OASIS Written by Kenichi Mori ASL-Ben Abdallah Group Graduate School of Computer Science and Engineering The University of Aizu

More information

Architecture and Design of Efficient 3D Network-on-Chip for Custom Multi-Core SoC

Architecture and Design of Efficient 3D Network-on-Chip for Custom Multi-Core SoC BWCCA 2010 Fukuoka, Japan November 4-6 2010 Architecture and Design of Efficient 3D Network-on-Chip for Custom Multi-Core SoC Akram Ben Ahmed, Abderazek Ben Abdallah, Kenichi Kuroda The University of Aizu

More information

Development of Parallel Queue Processor and its Integrated Development Environment

Development of Parallel Queue Processor and its Integrated Development Environment Master thesis of the University of Aizu, Feb. 21, 2011 Development of Parallel Queue Processor and its Integrated Development Environment m5131139, Hiroki Hoshino Supervised by Prof. Ben Abdallah Abderazek

More information

FCUDA-NoC: A Scalable and Efficient Network-on-Chip Implementation for the CUDA-to-FPGA Flow

FCUDA-NoC: A Scalable and Efficient Network-on-Chip Implementation for the CUDA-to-FPGA Flow FCUDA-NoC: A Scalable and Efficient Network-on-Chip Implementation for the CUDA-to-FPGA Flow Abstract: High-level synthesis (HLS) of data-parallel input languages, such as the Compute Unified Device Architecture

More information

Design and Implementation of Low Complexity Router for 2D Mesh Topology using FPGA

Design and Implementation of Low Complexity Router for 2D Mesh Topology using FPGA Design and Implementation of Low Complexity Router for 2D Mesh Topology using FPGA Maheswari Murali * and Seetharaman Gopalakrishnan # * Assistant professor, J. J. College of Engineering and Technology,

More information

CHAPTER 6 FPGA IMPLEMENTATION OF ARBITERS ALGORITHM FOR NETWORK-ON-CHIP

CHAPTER 6 FPGA IMPLEMENTATION OF ARBITERS ALGORITHM FOR NETWORK-ON-CHIP 133 CHAPTER 6 FPGA IMPLEMENTATION OF ARBITERS ALGORITHM FOR NETWORK-ON-CHIP 6.1 INTRODUCTION As the era of a billion transistors on a one chip approaches, a lot of Processing Elements (PEs) could be located

More information

OpenSMART: Single-cycle Multi-hop NoC Generator in BSV and Chisel

OpenSMART: Single-cycle Multi-hop NoC Generator in BSV and Chisel OpenSMART: Single-cycle Multi-hop NoC Generator in BSV and Chisel Hyoukjun Kwon and Tushar Krishna Georgia Institute of Technology Synergy Lab (http://synergy.ece.gatech.edu) hyoukjun@gatech.edu April

More information

Future Gigascale MCSoCs Applications: Computation & Communication Orthogonalization

Future Gigascale MCSoCs Applications: Computation & Communication Orthogonalization Basic Network-on-Chip (BANC) interconnection for Future Gigascale MCSoCs Applications: Computation & Communication Orthogonalization Abderazek Ben Abdallah, Masahiro Sowa Graduate School of Information

More information

Networks-on-Chip Router: Configuration and Implementation

Networks-on-Chip Router: Configuration and Implementation Networks-on-Chip : Configuration and Implementation Wen-Chung Tsai, Kuo-Chih Chu * 2 1 Department of Information and Communication Engineering, Chaoyang University of Technology, Taichung 413, Taiwan,

More information

Lecture 18: Communication Models and Architectures: Interconnection Networks

Lecture 18: Communication Models and Architectures: Interconnection Networks Design & Co-design of Embedded Systems Lecture 18: Communication Models and Architectures: Interconnection Networks Sharif University of Technology Computer Engineering g Dept. Winter-Spring 2008 Mehdi

More information

A Reconfigurable Crossbar Switch with Adaptive Bandwidth Control for Networks-on

A Reconfigurable Crossbar Switch with Adaptive Bandwidth Control for Networks-on A Reconfigurable Crossbar Switch with Adaptive Bandwidth Control for Networks-on on-chip Donghyun Kim, Kangmin Lee, Se-joong Lee and Hoi-Jun Yoo Semiconductor System Laboratory, Dept. of EECS, Korea Advanced

More information

SoC Design. Prof. Dr. Christophe Bobda Institut für Informatik Lehrstuhl für Technische Informatik

SoC Design. Prof. Dr. Christophe Bobda Institut für Informatik Lehrstuhl für Technische Informatik SoC Design Prof. Dr. Christophe Bobda Institut für Informatik Lehrstuhl für Technische Informatik Chapter 5 On-Chip Communication Outline 1. Introduction 2. Shared media 3. Switched media 4. Network on

More information

Ultra-Fast NoC Emulation on a Single FPGA

Ultra-Fast NoC Emulation on a Single FPGA The 25 th International Conference on Field-Programmable Logic and Applications (FPL 2015) September 3, 2015 Ultra-Fast NoC Emulation on a Single FPGA Thiem Van Chu, Shimpei Sato, and Kenji Kise Tokyo

More information

Architecture and Design of Efficient 3D Network-on-Chip (3D NoC) for Custom Multicore SoC

Architecture and Design of Efficient 3D Network-on-Chip (3D NoC) for Custom Multicore SoC Architecture and Design of Efficient 3D Network-on-Chip (3D NoC) for Custom Multicore SoC Akram Ben Ahmed, Abderazek Ben Abdallah, Kenichi Kuroda The University of Aizu Graduate School of Computers Science

More information

DESIGN OF EFFICIENT ROUTING ALGORITHM FOR CONGESTION CONTROL IN NOC

DESIGN OF EFFICIENT ROUTING ALGORITHM FOR CONGESTION CONTROL IN NOC DESIGN OF EFFICIENT ROUTING ALGORITHM FOR CONGESTION CONTROL IN NOC 1 Pawar Ruchira Pradeep M. E, E&TC Signal Processing, Dr. D Y Patil School of engineering, Ambi, Pune Email: 1 ruchira4391@gmail.com

More information

Prediction Router: Yet another low-latency on-chip router architecture

Prediction Router: Yet another low-latency on-chip router architecture Prediction Router: Yet another low-latency on-chip router architecture Hiroki Matsutani Michihiro Koibuchi Hideharu Amano Tsutomu Yoshinaga (Keio Univ., Japan) (NII, Japan) (Keio Univ., Japan) (UEC, Japan)

More information

Swizzle Switch: A Self-Arbitrating High-Radix Crossbar for NoC Systems

Swizzle Switch: A Self-Arbitrating High-Radix Crossbar for NoC Systems 1 Swizzle Switch: A Self-Arbitrating High-Radix Crossbar for NoC Systems Ronald Dreslinski, Korey Sewell, Thomas Manville, Sudhir Satpathy, Nathaniel Pinckney, Geoff Blake, Michael Cieslak, Reetuparna

More information

Applying the Benefits of Network on a Chip Architecture to FPGA System Design

Applying the Benefits of Network on a Chip Architecture to FPGA System Design white paper Intel FPGA Applying the Benefits of on a Chip Architecture to FPGA System Design Authors Kent Orthner Senior Manager, Software and IP Intel Corporation Table of Contents Abstract...1 Introduction...1

More information

Advanced Multicore Systems-On-Chip

Advanced Multicore Systems-On-Chip Advanced Multicore Systems-On-Chip Abderazek Ben Abdallah Advanced Multicore Systems-On-Chip Architecture, On-Chip Network, Design 123 Abderazek Ben Abdallah School of Computer Science and Engineering

More information

Fast Flexible FPGA-Tuned Networks-on-Chip

Fast Flexible FPGA-Tuned Networks-on-Chip This work was funded by NSF. We thank Xilinx for their FPGA and tool donations. We thank Bluespec for their tool donations. Fast Flexible FPGA-Tuned Networks-on-Chip Michael K. Papamichael, James C. Hoe

More information

Deadlock-Avoidance Technique for Fault-Tolerant 3D-OASIS-Network-on-Chip

Deadlock-Avoidance Technique for Fault-Tolerant 3D-OASIS-Network-on-Chip Deadlock-Avoidance Technique for Fault-Tolerant 3D-OASIS-Network-on-Chip Akram Ben Ahmed, Abderazek Ben Abdallah The University of Aizu Graduate School of Computers Science and Engineering Aizu-Wakamatsu

More information

Re-Examining Conventional Wisdom for Networks-on-Chip in the Context of FPGAs

Re-Examining Conventional Wisdom for Networks-on-Chip in the Context of FPGAs This work was funded by NSF. We thank Xilinx for their FPGA and tool donations. We thank Bluespec for their tool donations. Re-Examining Conventional Wisdom for Networks-on-Chip in the Context of FPGAs

More information

A VERIOG-HDL IMPLEMENTATION OF VIRTUAL CHANNELS IN A NETWORK-ON-CHIP ROUTER. A Thesis SUNGHO PARK

A VERIOG-HDL IMPLEMENTATION OF VIRTUAL CHANNELS IN A NETWORK-ON-CHIP ROUTER. A Thesis SUNGHO PARK A VERIOG-HDL IMPLEMENTATION OF VIRTUAL CHANNELS IN A NETWORK-ON-CHIP ROUTER A Thesis by SUNGHO PARK Submitted to the Office of Graduate Studies of Texas A&M University in partial fulfillment of the requirements

More information

Interconnection Networks: Topology. Prof. Natalie Enright Jerger

Interconnection Networks: Topology. Prof. Natalie Enright Jerger Interconnection Networks: Topology Prof. Natalie Enright Jerger Topology Overview Definition: determines arrangement of channels and nodes in network Analogous to road map Often first step in network design

More information

Low Cost Network on Chip Router Design for Torus Topology

Low Cost Network on Chip Router Design for Torus Topology IJCSNS International Journal of Computer Science and Network Security, VOL.17 No.5, May 2017 287 Low Cost Network on Chip Router Design for Torus Topology Bouraoui Chemli and Abdelkrim Zitouni Electronics

More information

EECS 598: Integrating Emerging Technologies with Computer Architecture. Lecture 12: On-Chip Interconnects

EECS 598: Integrating Emerging Technologies with Computer Architecture. Lecture 12: On-Chip Interconnects 1 EECS 598: Integrating Emerging Technologies with Computer Architecture Lecture 12: On-Chip Interconnects Instructor: Ron Dreslinski Winter 216 1 1 Announcements Upcoming lecture schedule Today: On-chip

More information

Lecture 23: Router Design

Lecture 23: Router Design Lecture 23: Router Design Papers: A Gracefully Degrading and Energy-Efficient Modular Router Architecture for On-Chip Networks, ISCA 06, Penn-State ViChaR: A Dynamic Virtual Channel Regulator for Network-on-Chip

More information

Lecture 3: Flow-Control

Lecture 3: Flow-Control High-Performance On-Chip Interconnects for Emerging SoCs http://tusharkrishna.ece.gatech.edu/teaching/nocs_acaces17/ ACACES Summer School 2017 Lecture 3: Flow-Control Tushar Krishna Assistant Professor

More information

Efficient Throughput-Guarantees for Latency-Sensitive Networks-On-Chip

Efficient Throughput-Guarantees for Latency-Sensitive Networks-On-Chip ASP-DAC 2010 20 Jan 2010 Session 6C Efficient Throughput-Guarantees for Latency-Sensitive Networks-On-Chip Jonas Diemer, Rolf Ernst TU Braunschweig, Germany diemer@ida.ing.tu-bs.de Michael Kauschke Intel,

More information

Architecture and Design of Shared Memory Multi- QueueCore Processor

Architecture and Design of Shared Memory Multi- QueueCore Processor University of Aizu, Graduation Thesis. March, 2011 s1150059 1 Architecture and Design of Shared Memory Multi- QueueCore Processor Shunichi Kato s1150059 Supervised by Prof. Ben Abdallah Abderazek Abstract

More information

NoC Test-Chip Project: Working Document

NoC Test-Chip Project: Working Document NoC Test-Chip Project: Working Document Michele Petracca, Omar Ahmad, Young Jin Yoon, Frank Zovko, Luca Carloni and Kenneth Shepard I. INTRODUCTION This document describes the low-power high-performance

More information

Deadlock-free XY-YX router for on-chip interconnection network

Deadlock-free XY-YX router for on-chip interconnection network LETTER IEICE Electronics Express, Vol.10, No.20, 1 5 Deadlock-free XY-YX router for on-chip interconnection network Yeong Seob Jeong and Seung Eun Lee a) Dept of Electronic Engineering Seoul National Univ

More information

Low-overhead Routing Algorithm for 3D Network-on-Chip

Low-overhead Routing Algorithm for 3D Network-on-Chip 2012 Third International Conference on Networking and Computing Low-overhead Routing Algorithm for 3D Network-on-Chip Akram Ben Ahmed, Abderazek Ben Abdallah The University of Aizu Graduate School of Computers

More information

JUNCTION BASED ROUTING: A NOVEL TECHNIQUE FOR LARGE NETWORK ON CHIP PLATFORMS

JUNCTION BASED ROUTING: A NOVEL TECHNIQUE FOR LARGE NETWORK ON CHIP PLATFORMS 1 JUNCTION BASED ROUTING: A NOVEL TECHNIQUE FOR LARGE NETWORK ON CHIP PLATFORMS Shabnam Badri THESIS WORK 2011 ELECTRONICS JUNCTION BASED ROUTING: A NOVEL TECHNIQUE FOR LARGE NETWORK ON CHIP PLATFORMS

More information

Lecture 22: Router Design

Lecture 22: Router Design Lecture 22: Router Design Papers: Power-Driven Design of Router Microarchitectures in On-Chip Networks, MICRO 03, Princeton A Gracefully Degrading and Energy-Efficient Modular Router Architecture for On-Chip

More information

Design of Adaptive Communication Channel Buffers for Low-Power Area- Efficient Network-on. on-chip Architecture

Design of Adaptive Communication Channel Buffers for Low-Power Area- Efficient Network-on. on-chip Architecture Design of Adaptive Communication Channel Buffers for Low-Power Area- Efficient Network-on on-chip Architecture Avinash Kodi, Ashwini Sarathy * and Ahmed Louri * Department of Electrical Engineering and

More information

FPGA based Design of Low Power Reconfigurable Router for Network on Chip (NoC)

FPGA based Design of Low Power Reconfigurable Router for Network on Chip (NoC) FPGA based Design of Low Power Reconfigurable Router for Network on Chip (NoC) D.Udhayasheela, pg student [Communication system],dept.ofece,,as-salam engineering and technology, N.MageshwariAssistant Professor

More information

Design of network adapter compatible OCP for high-throughput NOC

Design of network adapter compatible OCP for high-throughput NOC Applied Mechanics and Materials Vols. 313-314 (2013) pp 1341-1346 Online available since 2013/Mar/25 at www.scientific.net (2013) Trans Tech Publications, Switzerland doi:10.4028/www.scientific.net/amm.313-314.1341

More information

4. Networks. in parallel computers. Advances in Computer Architecture

4. Networks. in parallel computers. Advances in Computer Architecture 4. Networks in parallel computers Advances in Computer Architecture System architectures for parallel computers Control organization Single Instruction stream Multiple Data stream (SIMD) All processors

More information

A Dynamic NOC Arbitration Technique using Combination of VCT and XY Routing

A Dynamic NOC Arbitration Technique using Combination of VCT and XY Routing 727 A Dynamic NOC Arbitration Technique using Combination of VCT and XY Routing 1 Bharati B. Sayankar, 2 Pankaj Agrawal 1 Electronics Department, Rashtrasant Tukdoji Maharaj Nagpur University, G.H. Raisoni

More information

CAD System Lab Graduate Institute of Electronics Engineering National Taiwan University Taipei, Taiwan, ROC

CAD System Lab Graduate Institute of Electronics Engineering National Taiwan University Taipei, Taiwan, ROC QoS Aware BiNoC Architecture Shih-Hsin Lo, Ying-Cherng Lan, Hsin-Hsien Hsien Yeh, Wen-Chung Tsai, Yu-Hen Hu, and Sao-Jie Chen Ying-Cherng Lan CAD System Lab Graduate Institute of Electronics Engineering

More information

OpenSMART: An Opensource Singlecycle Multi-hop NoC Generator

OpenSMART: An Opensource Singlecycle Multi-hop NoC Generator OpenSMART: An Opensource Singlecycle Multi-hop NoC Generator Hyoukjun Kwon and Tushar Krishna Georgia Institute of Technology Synergy Lab (http://synergy.ece.gatech.edu) OpenSMART (https://tinyurl.com/get-opensmart)

More information

Design of Synchronous NoC Router for System-on-Chip Communication and Implement in FPGA using VHDL

Design of Synchronous NoC Router for System-on-Chip Communication and Implement in FPGA using VHDL Available Online at www.ijcsmc.com International Journal of Computer Science and Mobile Computing A Monthly Journal of Computer Science and Information Technology ISSN 2320 088X IJCSMC, Vol. 2, Issue.

More information

Small Virtual Channel Routers on FPGAs Through Block RAM Sharing

Small Virtual Channel Routers on FPGAs Through Block RAM Sharing 8 8 2 1 2 Small Virtual Channel rs on FPGAs Through Block RAM Sharing Jimmy Kwa, Tor M. Aamodt ECE Department, University of British Columbia Vancouver, Canada jkwa@ece.ubc.ca aamodt@ece.ubc.ca Abstract

More information

Design and Implementation of a Packet Switched Dynamic Buffer Resize Router on FPGA Vivek Raj.K 1 Prasad Kumar 2 Shashi Raj.K 3

Design and Implementation of a Packet Switched Dynamic Buffer Resize Router on FPGA Vivek Raj.K 1 Prasad Kumar 2 Shashi Raj.K 3 IJSRD - International Journal for Scientific Research & Development Vol. 2, Issue 02, 2014 ISSN (online): 2321-0613 Design and Implementation of a Packet Switched Dynamic Buffer Resize Router on FPGA Vivek

More information

Design of Reconfigurable Router for NOC Applications Using Buffer Resizing Techniques

Design of Reconfigurable Router for NOC Applications Using Buffer Resizing Techniques Design of Reconfigurable Router for NOC Applications Using Buffer Resizing Techniques Nandini Sultanpure M.Tech (VLSI Design and Embedded System), Dept of Electronics and Communication Engineering, Lingaraj

More information

Design and Analysis of On-Chip Router for Network On Chip

Design and Analysis of On-Chip Router for Network On Chip Design and Analysis of On-Chip Router for Network On Chip Ms. A.S. Kale #1 M.Tech IInd yr, Electronics Department, Bapurao Deshmukh college of engineering, Wardha M. S.India Prof. M.A.Gaikwad #2 Professor,

More information

Evaluation of NOC Using Tightly Coupled Router Architecture

Evaluation of NOC Using Tightly Coupled Router Architecture IOSR Journal of Computer Engineering (IOSR-JCE) e-issn: 2278-0661,p-ISSN: 2278-8727, Volume 18, Issue 1, Ver. II (Jan Feb. 2016), PP 01-05 www.iosrjournals.org Evaluation of NOC Using Tightly Coupled Router

More information

4DM4 Lab. #1 A: Introduction to VHDL and FPGAs B: An Unbuffered Crossbar Switch (posted Thursday, Sept 19, 2013)

4DM4 Lab. #1 A: Introduction to VHDL and FPGAs B: An Unbuffered Crossbar Switch (posted Thursday, Sept 19, 2013) 1 4DM4 Lab. #1 A: Introduction to VHDL and FPGAs B: An Unbuffered Crossbar Switch (posted Thursday, Sept 19, 2013) Lab #1: ITB Room 157, Thurs. and Fridays, 2:30-5:20, EOW Demos to TA: Thurs, Fri, Sept.

More information

DLABS: a Dual-Lane Buffer-Sharing Router Architecture for Networks on Chip

DLABS: a Dual-Lane Buffer-Sharing Router Architecture for Networks on Chip DLABS: a Dual-Lane Buffer-Sharing Router Architecture for Networks on Chip Anh T. Tran and Bevan M. Baas Department of Electrical and Computer Engineering University of California - Davis, USA {anhtr,

More information

Improving Routing Efficiency for Network-on-Chip through Contention-Aware Input Selection

Improving Routing Efficiency for Network-on-Chip through Contention-Aware Input Selection Improving Routing Efficiency for Network-on-Chip through Contention-Aware Input Selection Dong Wu, Bashir M. Al-Hashimi, Marcus T. Schmitz School of Electronics and Computer Science University of Southampton

More information

CONGESTION AWARE ADAPTIVE ROUTING FOR NETWORK-ON-CHIP COMMUNICATION. Stephen Chui Bachelor of Engineering Ryerson University, 2012.

CONGESTION AWARE ADAPTIVE ROUTING FOR NETWORK-ON-CHIP COMMUNICATION. Stephen Chui Bachelor of Engineering Ryerson University, 2012. CONGESTION AWARE ADAPTIVE ROUTING FOR NETWORK-ON-CHIP COMMUNICATION by Stephen Chui Bachelor of Engineering Ryerson University, 2012 A thesis presented to Ryerson University in partial fulfillment of the

More information

A Novel Energy Efficient Source Routing for Mesh NoCs

A Novel Energy Efficient Source Routing for Mesh NoCs 2014 Fourth International Conference on Advances in Computing and Communications A ovel Energy Efficient Source Routing for Mesh ocs Meril Rani John, Reenu James, John Jose, Elizabeth Isaac, Jobin K. Antony

More information

Quest for High-Performance Bufferless NoCs with Single-Cycle Express Paths and Self-Learning Throttling

Quest for High-Performance Bufferless NoCs with Single-Cycle Express Paths and Self-Learning Throttling Quest for High-Performance Bufferless NoCs with Single-Cycle Express Paths and Self-Learning Throttling Bhavya K. Daya, Li-Shiuan Peh, Anantha P. Chandrakasan Dept. of Electrical Engineering and Computer

More information

Achieving Lightweight Multicast in Asynchronous Networks-on-Chip Using Local Speculation

Achieving Lightweight Multicast in Asynchronous Networks-on-Chip Using Local Speculation Achieving Lightweight Multicast in Asynchronous Networks-on-Chip Using Local Speculation Kshitij Bhardwaj Dept. of Computer Science Columbia University Steven M. Nowick 2016 ACM/IEEE Design Automation

More information

Thomas Moscibroda Microsoft Research. Onur Mutlu CMU

Thomas Moscibroda Microsoft Research. Onur Mutlu CMU Thomas Moscibroda Microsoft Research Onur Mutlu CMU CPU+L1 CPU+L1 CPU+L1 CPU+L1 Multi-core Chip Cache -Bank Cache -Bank Cache -Bank Cache -Bank CPU+L1 CPU+L1 CPU+L1 CPU+L1 Accelerator, etc Cache -Bank

More information

Real-Time Mixed-Criticality Wormhole Networks

Real-Time Mixed-Criticality Wormhole Networks eal-time Mixed-Criticality Wormhole Networks Leandro Soares Indrusiak eal-time Systems Group Department of Computer Science University of York United Kingdom eal-time Systems Group 1 Outline Wormhole Networks

More information

Topologies. Maurizio Palesi. Maurizio Palesi 1

Topologies. Maurizio Palesi. Maurizio Palesi 1 Topologies Maurizio Palesi Maurizio Palesi 1 Network Topology Static arrangement of channels and nodes in an interconnection network The roads over which packets travel Topology chosen based on cost and

More information

Verilog for High Performance

Verilog for High Performance Verilog for High Performance Course Description This course provides all necessary theoretical and practical know-how to write synthesizable HDL code through Verilog standard language. The course goes

More information

VLSI D E S. Siddhardha Pottepalem

VLSI D E S. Siddhardha Pottepalem HESIS UBMITTED IN ARTIAL ULFILLMENT OF THE EQUIREMENTS FOR THE EGREE OF M T IN VLSI D E S BY Siddhardha Pottepalem EPARTMENT OF LECTRONICS AND OMMUNICATION NGINEERING ATIONAL NSTITUTE OF ECHNOLOGY OURKELA

More information

Lecture 12: Interconnection Networks. Topics: communication latency, centralized and decentralized switches, routing, deadlocks (Appendix E)

Lecture 12: Interconnection Networks. Topics: communication latency, centralized and decentralized switches, routing, deadlocks (Appendix E) Lecture 12: Interconnection Networks Topics: communication latency, centralized and decentralized switches, routing, deadlocks (Appendix E) 1 Topologies Internet topologies are not very regular they grew

More information

Lecture 25: Interconnection Networks, Disks. Topics: flow control, router microarchitecture, RAID

Lecture 25: Interconnection Networks, Disks. Topics: flow control, router microarchitecture, RAID Lecture 25: Interconnection Networks, Disks Topics: flow control, router microarchitecture, RAID 1 Virtual Channel Flow Control Each switch has multiple virtual channels per phys. channel Each virtual

More information

Network-on-chip (NOC) Topologies

Network-on-chip (NOC) Topologies Network-on-chip (NOC) Topologies 1 Network Topology Static arrangement of channels and nodes in an interconnection network The roads over which packets travel Topology chosen based on cost and performance

More information

A New CDMA Encoding/Decoding Method for on- Chip Communication Network

A New CDMA Encoding/Decoding Method for on- Chip Communication Network A New CDMA Encoding/Decoding Method for on- Chip Communication Network Abstract: As a high performance on-chip communication method, the code division multiple access (CDMA) technique has recently been

More information

Design of Router Architecture Based on Wormhole Switching Mode for NoC

Design of Router Architecture Based on Wormhole Switching Mode for NoC International Journal of Scientific & Engineering Research Volume 3, Issue 3, March-2012 1 Design of Router Architecture Based on Wormhole Switching Mode for NoC L.Rooban, S.Dhananjeyan Abstract - Network

More information

VHDL for Synthesis. Course Description. Course Duration. Goals

VHDL for Synthesis. Course Description. Course Duration. Goals VHDL for Synthesis Course Description This course provides all necessary theoretical and practical know how to write an efficient synthesizable HDL code through VHDL standard language. The course goes

More information

Routing Algorithms, Process Model for Quality of Services (QoS) and Architectures for Two-Dimensional 4 4 Mesh Topology Network-on-Chip

Routing Algorithms, Process Model for Quality of Services (QoS) and Architectures for Two-Dimensional 4 4 Mesh Topology Network-on-Chip Routing Algorithms, Process Model for Quality of Services (QoS) and Architectures for Two-Dimensional 4 4 Mesh Topology Network-on-Chip Nauman Jalil, Adnan Qureshi, Furqan Khan, and Sohaib Ayyaz Qazi Abstract

More information

ScienceDirect. Packet-based Adaptive Virtual Channel Configuration for NoC Systems

ScienceDirect. Packet-based Adaptive Virtual Channel Configuration for NoC Systems Available online at www.sciencedirect.com ScienceDirect Procedia Computer Science 34 (2014 ) 552 558 2014 International Workshop on the Design and Performance of Network on Chip (DPNoC 2014) Packet-based

More information

Fast, Accurate and Detailed NoC Simulations

Fast, Accurate and Detailed NoC Simulations Fast, Accurate and Detailed NoC Simulations Pascal T. Wolkotte and Philip K.F. Hölzenspies and Gerard J.M. Smit University of Twente, Department of EEMCS P.O. Box 217, 75 AE Enschede, The Netherlands P.T.Wolkotte@utwente.nl

More information

Lecture: Interconnection Networks

Lecture: Interconnection Networks Lecture: Interconnection Networks Topics: Router microarchitecture, topologies Final exam next Tuesday: same rules as the first midterm 1 Packets/Flits A message is broken into multiple packets (each packet

More information

HARDWARE IMPLEMENTATION OF PIPELINE BASED ROUTER DESIGN FOR ON- CHIP NETWORK

HARDWARE IMPLEMENTATION OF PIPELINE BASED ROUTER DESIGN FOR ON- CHIP NETWORK DOI: 10.21917/ijct.2012.0092 HARDWARE IMPLEMENTATION OF PIPELINE BASED ROUTER DESIGN FOR ON- CHIP NETWORK U. Saravanakumar 1, R. Rangarajan 2 and K. Rajasekar 3 1,3 Department of Electronics and Communication

More information

PRIORITY BASED SWITCH ALLOCATOR IN ADAPTIVE PHYSICAL CHANNEL REGULATOR FOR ON CHIP INTERCONNECTS. A Thesis SONALI MAHAPATRA

PRIORITY BASED SWITCH ALLOCATOR IN ADAPTIVE PHYSICAL CHANNEL REGULATOR FOR ON CHIP INTERCONNECTS. A Thesis SONALI MAHAPATRA PRIORITY BASED SWITCH ALLOCATOR IN ADAPTIVE PHYSICAL CHANNEL REGULATOR FOR ON CHIP INTERCONNECTS A Thesis by SONALI MAHAPATRA Submitted to the Office of Graduate and Professional Studies of Texas A&M University

More information

Overlaid Mesh Topology Design and Deadlock Free Routing in Wireless Network-on-Chip. Danella Zhao and Ruizhe Wu Presented by Zhonghai Lu, KTH

Overlaid Mesh Topology Design and Deadlock Free Routing in Wireless Network-on-Chip. Danella Zhao and Ruizhe Wu Presented by Zhonghai Lu, KTH Overlaid Mesh Topology Design and Deadlock Free Routing in Wireless Network-on-Chip Danella Zhao and Ruizhe Wu Presented by Zhonghai Lu, KTH Outline Introduction Overview of WiNoC system architecture Overlaid

More information

Basic Switch Organization

Basic Switch Organization NOC Routing 1 Basic Switch Organization 2 Basic Switch Organization Link Controller Used for coordinating the flow of messages across the physical link of two adjacent switches 3 Basic Switch Organization

More information

Fault Tolerant and Secure Architectures for On Chip Networks With Emerging Interconnect Technologies. Mohsin Y Ahmed Conlan Wesson

Fault Tolerant and Secure Architectures for On Chip Networks With Emerging Interconnect Technologies. Mohsin Y Ahmed Conlan Wesson Fault Tolerant and Secure Architectures for On Chip Networks With Emerging Interconnect Technologies Mohsin Y Ahmed Conlan Wesson Overview NoC: Future generation of many core processor on a single chip

More information

A Thermal-aware Application specific Routing Algorithm for Network-on-chip Design

A Thermal-aware Application specific Routing Algorithm for Network-on-chip Design A Thermal-aware Application specific Routing Algorithm for Network-on-chip Design Zhi-Liang Qian and Chi-Ying Tsui VLSI Research Laboratory Department of Electronic and Computer Engineering The Hong Kong

More information

Dynamic Packet Fragmentation for Increased Virtual Channel Utilization in On-Chip Routers

Dynamic Packet Fragmentation for Increased Virtual Channel Utilization in On-Chip Routers Dynamic Packet Fragmentation for Increased Virtual Channel Utilization in On-Chip Routers Young Hoon Kang, Taek-Jun Kwon, and Jeff Draper {youngkan, tjkwon, draper}@isi.edu University of Southern California

More information

Combining In-Transit Buffers with Optimized Routing Schemes to Boost the Performance of Networks with Source Routing?

Combining In-Transit Buffers with Optimized Routing Schemes to Boost the Performance of Networks with Source Routing? Combining In-Transit Buffers with Optimized Routing Schemes to Boost the Performance of Networks with Source Routing? J. Flich 1,P.López 1, M. P. Malumbres 1, J. Duato 1, and T. Rokicki 2 1 Dpto. Informática

More information

Low-Power Interconnection Networks

Low-Power Interconnection Networks Low-Power Interconnection Networks Li-Shiuan Peh Associate Professor EECS, CSAIL & MTL MIT 1 Moore s Law: Double the number of transistors on chip every 2 years 1970: Clock speed: 108kHz No. transistors:

More information

Pseudo-Circuit: Accelerating Communication for On-Chip Interconnection Networks

Pseudo-Circuit: Accelerating Communication for On-Chip Interconnection Networks Department of Computer Science and Engineering, Texas A&M University Technical eport #2010-3-1 seudo-circuit: Accelerating Communication for On-Chip Interconnection Networks Minseon Ahn, Eun Jung Kim Department

More information

Module 17: "Interconnection Networks" Lecture 37: "Introduction to Routers" Interconnection Networks. Fundamentals. Latency and bandwidth

Module 17: Interconnection Networks Lecture 37: Introduction to Routers Interconnection Networks. Fundamentals. Latency and bandwidth Interconnection Networks Fundamentals Latency and bandwidth Router architecture Coherence protocol and routing [From Chapter 10 of Culler, Singh, Gupta] file:///e /parallel_com_arch/lecture37/37_1.htm[6/13/2012

More information

A Modified NoC Router Architecture with Fixed Priority Arbiter

A Modified NoC Router Architecture with Fixed Priority Arbiter A Modified NoC Router Architecture with Fixed Priority Arbiter Surumi Ansari 1, Suranya G 2 1 PG scholar, Department of ECE, Ilahia College of Engineering and Technology, Muvattupuzha, Ernakulam 2 Assistant

More information

Introduction to the Qsys System Integration Tool

Introduction to the Qsys System Integration Tool Introduction to the Qsys System Integration Tool Course Description This course will teach you how to quickly build designs for Altera FPGAs using Altera s Qsys system-level integration tool. You will

More information

DESIGN A APPLICATION OF NETWORK-ON-CHIP USING 8-PORT ROUTER

DESIGN A APPLICATION OF NETWORK-ON-CHIP USING 8-PORT ROUTER G MAHESH BABU, et al, Volume 2, Issue 7, PP:, SEPTEMBER 2014. DESIGN A APPLICATION OF NETWORK-ON-CHIP USING 8-PORT ROUTER G.Mahesh Babu 1*, Prof. Ch.Srinivasa Kumar 2* 1. II. M.Tech (VLSI), Dept of ECE,

More information

Power and Area Efficient NOC Router Through Utilization of Idle Buffers

Power and Area Efficient NOC Router Through Utilization of Idle Buffers Power and Area Efficient NOC Router Through Utilization of Idle Buffers Mr. Kamalkumar S. Kashyap 1, Prof. Bharati B. Sayankar 2, Dr. Pankaj Agrawal 3 1 Department of Electronics Engineering, GRRCE Nagpur

More information

in Oblivious Routing

in Oblivious Routing Static Virtual Channel Allocation in Oblivious Routing Keun Sup Shim, Myong Hyon Cho, Michel Kinsy, Tina Wen, Mieszko Lis G. Edward Suh (Cornell) Srinivas Devadas MIT Computer Science and Artificial Intelligence

More information

CS575 Parallel Processing

CS575 Parallel Processing CS575 Parallel Processing Lecture three: Interconnection Networks Wim Bohm, CSU Except as otherwise noted, the content of this presentation is licensed under the Creative Commons Attribution 2.5 license.

More information

EE482, Spring 1999 Research Paper Report. Deadlock Recovery Schemes

EE482, Spring 1999 Research Paper Report. Deadlock Recovery Schemes EE482, Spring 1999 Research Paper Report Deadlock Recovery Schemes Jinyung Namkoong Mohammed Haque Nuwan Jayasena Manman Ren May 18, 1999 Introduction The selected papers address the problems of deadlock,

More information

Comparison of Deadlock Recovery and Avoidance Mechanisms to Approach Message Dependent Deadlocks in on-chip Networks

Comparison of Deadlock Recovery and Avoidance Mechanisms to Approach Message Dependent Deadlocks in on-chip Networks Comparison of Deadlock Recovery and Avoidance Mechanisms to Approach Message Dependent Deadlocks in on-chip Networks Andreas Lankes¹, Soeren Sonntag², Helmut Reinig³, Thomas Wild¹, Andreas Herkersdorf¹

More information

SONA: An On-Chip Network for Scalable Interconnection of AMBA-Based IPs*

SONA: An On-Chip Network for Scalable Interconnection of AMBA-Based IPs* SONA: An On-Chip Network for Scalable Interconnection of AMBA-Based IPs* Eui Bong Jung 1, Han Wook Cho 1, Neungsoo Park 2, and Yong Ho Song 1 1 College of Information and Communications, Hanyang University,

More information

EC 513 Computer Architecture

EC 513 Computer Architecture EC 513 Computer Architecture On-chip Networking Prof. Michel A. Kinsy Virtual Channel Router VC 0 Routing Computation Virtual Channel Allocator Switch Allocator Input Ports VC x VC 0 VC x It s a system

More information

DESIGN, IMPLEMENTATION AND EVALUATION OF A CONFIGURABLE. NoC FOR AcENoCS FPGA ACCELERATED EMULATION PLATFORM. A Thesis SWAPNIL SUBHASH LOTLIKAR

DESIGN, IMPLEMENTATION AND EVALUATION OF A CONFIGURABLE. NoC FOR AcENoCS FPGA ACCELERATED EMULATION PLATFORM. A Thesis SWAPNIL SUBHASH LOTLIKAR DESIGN, IMPLEMENTATION AND EVALUATION OF A CONFIGURABLE NoC FOR AcENoCS FPGA ACCELERATED EMULATION PLATFORM A Thesis by SWAPNIL SUBHASH LOTLIKAR Submitted to the Office of Graduate Studies of Texas A&M

More information

ISSN Vol.03, Issue.02, March-2015, Pages:

ISSN Vol.03, Issue.02, March-2015, Pages: ISSN 2322-0929 Vol.03, Issue.02, March-2015, Pages:0122-0126 www.ijvdcs.org Design and Simulation Five Port Router using Verilog HDL CH.KARTHIK 1, R.S.UMA SUSEELA 2 1 PG Scholar, Dept of VLSI, Gokaraju

More information

FIELD-programmable gate-arrays (FPGAs) are an effective

FIELD-programmable gate-arrays (FPGAs) are an effective IEEE TRANSACTIONS ON COMPUTERS, VOL. X, NO. X, APRIL 206 Design and Applications for Embedded Networks-on-Chip on FPGAs Mohamed S. Abdelfattah, Member, IEEE, Andrew Bitar, Member, IEEE, Vaughn Betz Member,

More information

Joint consideration of performance, reliability and fault tolerance in regular Networks-on-Chip via multiple spatially-independent interface terminals

Joint consideration of performance, reliability and fault tolerance in regular Networks-on-Chip via multiple spatially-independent interface terminals Joint consideration of performance, reliability and fault tolerance in regular Networks-on-Chip via multiple spatially-independent interface terminals Philipp Gorski, Tim Wegner, Dirk Timmermann University

More information

Computer Engineering Mekelweg 4, 2628 CD Delft The Netherlands MSc THESIS

Computer Engineering Mekelweg 4, 2628 CD Delft The Netherlands  MSc THESIS Computer Engineering Mekelweg 4, 2628 CD Delft The Netherlands http://ce.et.tudelft.nl/ 2014 MSc THESIS NoC characterization framework for design space exploration Sriram Prakash Adiga Abstract A Network

More information

Area and Power-efficient Innovative Network-on-Chip Architecture

Area and Power-efficient Innovative Network-on-Chip Architecture Area and Power-efficient Innovative Network-on-Chip Architecture Chifeng Wang, Wen-Hsiang Hu, Nader Bagherzadeh Dept. of Electrical Engineering and Computer Science University of California, Irvine Irvine,

More information

FPGA BASED ADAPTIVE RESOURCE EFFICIENT ERROR CONTROL METHODOLOGY FOR NETWORK ON CHIP

FPGA BASED ADAPTIVE RESOURCE EFFICIENT ERROR CONTROL METHODOLOGY FOR NETWORK ON CHIP FPGA BASED ADAPTIVE RESOURCE EFFICIENT ERROR CONTROL METHODOLOGY FOR NETWORK ON CHIP 1 M.DEIVAKANI, 2 D.SHANTHI 1 Associate Professor, Department of Electronics and Communication Engineering PSNA College

More information

Design and Implementation of A Reconfigurable Arbiter

Design and Implementation of A Reconfigurable Arbiter Proceedings of the 7th WSEAS International Conference on Signal, Speech and Image Processing, Beijing, China, September 15-17, 2007 100 Design and Implementation of A Reconfigurable Arbiter YU-JUNG HUANG,

More information

STLAC: A Spatial and Temporal Locality-Aware Cache and Networkon-Chip

STLAC: A Spatial and Temporal Locality-Aware Cache and Networkon-Chip STLAC: A Spatial and Temporal Locality-Aware Cache and Networkon-Chip Codesign for Tiled Manycore Systems Mingyu Wang and Zhaolin Li Institute of Microelectronics, Tsinghua University, Beijing 100084,

More information