FET Proactive initiative: Advanced Computing Architectures

Size: px
Start display at page:

Download "FET Proactive initiative: Advanced Computing Architectures"

Transcription

1 FET Proactive initiative: Advanced Computing Architectures Terms of Reference 1 INTRODUCTION Fuelled by Moore's law, progress in electronics continues at an unabated pace permitting the design of devices with ever smaller size, lower cost and power consumption while simultaneously delivering a steady improvement in performance. Yesterday's supercomputers have thus become today's commodity processors that are embedded in an ever growing number of digital devices. Just as during the early days of computing, a lot of the recent innovation in computing has been driven by the needs of network services: telephone services then, the Internet and wireless communication today. The emergence of always accessible, high bandwidth networks are changing the expectations of users and enable new classes of services. On the back end of the network, sophisticated data centres have emerged that maintain repositories of data and provide a wide variety of services from storage, through searching and commerce to data mining to their users. These services are driving the need for reliable high performance computing often through techniques that take advantage of the new network-centric world view. A good example of this is the emergence of cluster and grid computing technologies, which are the modern form of parallel distributed processing. While the back-end of the network has seen a lot of innovation in recent years, the pace of evolution on the front of the network the devices that connect the users to the network has probably been even faster. Wireless technologies have created a breed of consumer devices that has been driving innovation in computers. Not only are these devices small and mobile but their users demand applications on them that only a few years ago were in the realm of workstations and supercomputers. Computer designers are asked for the almost impossible: devices with tremendous processing power, with very low power consumption and low cost. These requirements necessitate a lot of new research efforts as well as standardization, and open platforms to enable the next generation of high-value services on the network and to enable innovation. As our future is poised to become even more reliant on computer technologies than it is today, the coming years will call for continued innovation at all levels. An emerging class of intelligent sensors will increase the number of networked devices many orders of magnitude and will also drive innovation in at the back-end through the need to make sense of the data collected by these sensors. These developments show that future computing architectures will need to be able to deliver a step-function improvement in performance and power efficiency if the vision of a connected world is to be fulfilled. 1

2 2 AIMS OF THE CALL So far, performance increases of electronic devices have primarily been achieved through semiconductor technology shrinking in combination with architectural enhancements. However, speed gains from technology shrinks are likely to slow down soon, and the straightforward architectural gains now produce only diminishing returns. New methods are required to fuel the progress in semiconductor logic performance at low cost and low power dissipation. These are likely to emerge by adding specialised features to existing processors and, most importantly, from parallel processing generic or specialized, chiplevel or cluster-level. However, not all solutions are created equal: as the vast majority of computing devices go into the cost-competitive market of consumer devices, development and manufacturing costs must be kept in check. Not only is the design complexity of embedded systems going up but also the cost of launching production of ASICs using the latest generation of technologies. This means that state-of-the-art manufacturing is economical only for an increasingly higher production volume, which puts more emphasis on post-manufacture specialization for the needs of the individual customer. As a result, methods for enhancing (re)-programmability, re-configurability, and re-usability of devices and thereby enlarging the potential set of customers will become a key issue. Under these constraints it becomes important to develop highly effective computing architectures that are applicable across a wide range of application areas. Product differentiation will then be realized using a combination of software and reconfigurable hardware technologies. Progress in this domain hinges on both programming techniques and on programmable architectures that support fast system design, debugging, verification, and testing. The long-term stability of platforms and programming models for re-use of application software has been a key enabler of personal computers. A similar stability, yet with the ability to fine-tune for applications and the target environment s requirements, will be a key development issue for the emerging embedded applications. The aim of this programme is to develop novel advanced computing architectures, methods, tools, and intellectual property that will: Substantially increase the performance of computing engines (processors and scalable systems made of multiple processors) well beyond projected performance of Moore s law (e.g., by two orders of magnitude), while reducing their power consumption. Provide leading compiler and operating system technology that will deliver high performance and efficient code optimisation, just-in-time compilation, and that will be portable across a wide range of target systems. Constitute building blocks to be combined with each other and programmed easily and efficiently, even in heterogeneous processing platforms. 2

3 3 RESEARCH CHALLENGES AND RESEARCH THEMES 3.1 CHALLENGES SCALABLE PERFORMANCE Current architectural designs will not support sustainable scaling across future submicron technology because of a variety of technological challenges. A radical rethink is needed of how a processor architecture is constructed, and how larger systems are built out of combinations of such processors. Increasing the performance of today's computing systems substantially beyond projected performance of Moore s law (e.g., two orders of magnitude) is a grand challenge as no current architecture can scale to such performance levels. LOW POWER / ENERGY EFFICIENT Power consumption will be a key issue for future systems composed of heterogeneous components in both mobile and embedded devices. Further, in stationary high performance devices, power dissipation density levels are increasing super-linearly with shrinking silicon technologies. Therefore, reducing power by an order of magnitude while increasing computing performance is a grand challenge. SYSTEM PERFORMANCE Increased peak performance from computer architecture is often not leading to increased application performance. This gap between peak and actual performance may be due to the intervening mapping layers - compiler and operating system, but probably also to the unsuitability of the architecture to the application requirements. Developing technology that delivers portable optimisation on time across a range of future architectures is a grand challenge. DESIGN, ARCHITECTURE AND PROGRAMMABILITY Today the cost of design of ICs, ASICs, FPGAs, embedded Systems on a Chip (SoC), and hardware-dependent software is one of the major concerns of the industry. Huge efforts are expended to increase design productivity while keeping costs under control. At the same time, efficient programming of parallel and often heterogeneous processors and other components in a given platform remains one of the major unsolved problems in computer science. Leap progress is required in efficiency of designing and programming heterogeneous processors and platforms. The challenge here is to develop stable application interfaces that will benefit from technology scaling and system development environments that are long-lasting. 3.2 RESEARCH THEMES SCALABLE PROCESSOR ARCHITECTURES Processor performance gains of the last 20 years have mainly been obtained by the reduced switching delays of smaller gates and reduced transmission delays of shorter links. However, transmission delays may not scale down beyond the 45nm node and will dominate overall IC performance limitations. Hence performance improvement due to the 3

4 silicon technology is going to prove more difficult to reach. Other performance gains were obtained at the silicon level through wider word sizes and pipelining, but these are also reaching their limits. Further gains require the detection and exploitation of parallelism in both software and hardware. While substantial gains in microprocessor performance have already been reached through the exploitation of instruction-level parallelism, current implementations are now reaching their practical limits. Research in thread-level parallelism brings new promises for high returns in data-intensive applications run on suitable parallel architectures, but this approach requires rethinking current algorithms, programming models and architectural designs. Designing architectures that are scalable over a wide range of performance and power efficiency levels is a great challenge. A possible way forward is a more formal separation of architectures for control- and data-plane operation. A taxonomy can be drawn in which the control processor needs a stable environment as it is mostly responsible for running the operating system and user applications which evolve relatively slowly. On the other side, the data processor could become more open to possible innovations, since it is computationally more demanding and changing more rapidly. This type of separation has been used for a long time in telecom systems and can be observed in most modern mobile phone chip sets. In desktop PCs, the central processor executes the control threads and provides a consistent execution environment. Graphic cards on the other hand carry out the data intensive operatings and are evolving much faster. Architectural scalability needs to be investigated consistently at the levels of data processing, control processing, communication, and compiler. With ever larger and more complex chips, chip-wide interconnection, communication and synchronisation become growing concerns. Chip area networks are needed (also referred to as Network on Chip - NoC). Issues such as how to partition memory resources and how to maintain quality of service need to be addressed. Moreover, multi-chip systems need novel, more efficient processor-network interfaces and interconnection networks. Modular, grid or tile architectures where small units designed to be scalable are pieced together offer one avenue of research for achieving scalability towards large-scale networks. Equally challenging is the design of memory and storage systems that can deliver data at a sufficient rate. As the gap between processor and memory speed inexorably widens, fundamentally new ways of designing memory systems, but also processor-memory communication, memory management and cache control need to be explored. The research themes include Processor architectures: low-power, low-cost or high-performance processors, application-oriented processors (embedded computing, multimedia, networking, wireless, etc), including programmability and reconfigurability. Scalable system architectures with multiple processors: cluster, SMP, chip-mp, tiled architectures, storage and interconnection architectures, high-performance embedded computing architectures. 4

5 LOW POWER DESIGNS Power will be the main constraining resource in future embedded systems. Semiconductor technology scaling will no longer provide major power savings. New, very promising solutions may then be derived through assessing the impact of any architecture or technology changes on power consumption, identifying the areas where power savings could be realised, exploring a wide range of avenues for power reduction, and addressing the overall optimisation at system level. Among the future more serious power losses, one can identify high frequency clocking, gate leakage, speculative execution and cache loading, memory accesses in general, software and system inefficiencies. Direct measures include specific low-power silicon technology, multi-clock circuits, asynchronous chips, on-chip power management, power-aware compilation, architecture and system design, and parallel circuits with lower operating frequencies. Inefficient power utilisation at the system level often results from the difficulty to devise power saving measures across layered software, from the kernel through the operating system, middleware, configware to the application. Measures include compiler-directed power optimisation and dynamic power management. In a number of cases, power-efficiency will go hand-in-hand with performance increases, improving the ratio between application-level performance and power consumption and aggregate intrinsic performance and power efficiency at the gate level. RETARGETABLE OPTIMISATION Compiler development time will be a major restriction to time to market of future embedded systems. Compilation approaches from an application into an architecture are required so that the adaptation to specific implementations would be straightforward. Performance criteria include speed, power, and size. As more and more applications are multi-threaded, partitioning of functionality becomes a key issue. Next generation compilers would have to work at system level, automatically detect parallelism at application level, decompose the application into threads and spread them over multiprocessors, based on system-level, communication-aware cost metrics. Research themes include retargetable optimisation, compilation for multi-core systems, generation of code with guaranteed security properties, automated compiler generation, architecture and operating system cross-optimisation, architecture-aware compilation, and optimisation of high-level language for embedded systems. SYSTEM ARCHITECTURAL TOOLS In order that we may research and develop new architecture and compiler designs, we need new concepts and design methodologies as well as a complete set of tools for heterogeneous parallel design of highly complex computing architectures. We need tools permitting system design to cut boundaries between software, architectural, and microarchitectural aspects; tools from specification and modelling to verification and implementation and that deal with parallel or distributed hardware and software or hybrid systems. This calls in particular for tools that allow system-level design through 5

6 abstraction; retargetable tools and compilers for a range of computing platforms; design exploration tools; and power/performance estimation tools. In order to successfully address performance prediction, the development of software long before the respective hardware platform becomes available is a necessity. This calls for fast simulation platforms and portability with respect to code, tools, and applications to new platforms. And finally, we need developing tools allowing to easily programme (general-purpose) computing platforms to be best tuned to computational resources required from a specific application and to achieve programme efficiency. OPERATING SYSTEMS AND EXECUTION ENVIRONMENTS Highly flexible operating systems are needed that will provide a unified programming model for computing systems at different scales, as well as across different heterogeneous subsystems. They should address runtime configuration and support, efficient power management, real time system operation, reliability and dependability, dynamic workload distribution and scalable distributed OS implementation. OTHER RESEARCH TOPICS Dependable and secure computing architectures: Major requirements for future architectures are that they should detect errors and take measures to tolerate faults in each part of the processor architecture (from the data and control path to the memory path), but also at sub-system and system level. A set of methods for fault prevention and fault tolerance are required to cope with different fault and failure models. Hardware hooks for achieving security against unauthorized access (intrusion avoidance and detection) and against denial-of-service (DoS) attacks are also needed. 4 S&T COMMUNITIES ADDRESSED Each country throughout Europe has individual internationally recognised experts and small teams of high-quality research in one or more of the main contributing fields, i.e., architectures, compilers, operating systems, system design tools and methods. There is also a wide range of successful industries in these fields throughout the EU. This dispersal has led to a rich and diverse range of expertise. However, advanced computer architectures increasingly need large resources to make headway both in terms of computing power for simulation and person-power to investigate the myriad design tradeoffs. The most successful academic groups and companies are those able to sustain a large research staff and are currently based in the US. For Europe, the only real way to compete is to provide (financial and other) support for bringing groups closer together and combining their strengths, thus forming a critical mass of excellence that will provide solid support to industry. The initiative is expected to mobilise key research stakeholders. Participation from industry is required in order to address research directions that have the potential of providing the required application breakthroughs (ranging from tiny embedded or wireless systems to large internetworked server-based systems) in a mid- to long-term horizon. 6

7 5 CHARACTERISTICS OF SUCCESSFUL PROPOSALS To capitalise on a critical mass of efforts at the European scale, the programme will be implemented through a set of Integrated Projects and (possibly) a Network of Excellence. Proposers should read carefully the documents related to FET proactive initiatives ( and the description of the IP and NoE instruments, both in general terms ( as well as in the frame of FET ( for NoE). Integrated Projects (IPs): IPs would focus on the investigation of generic emerging computing architectures addressing the grand challenges identified in Section 3 above. IPs should have a clear set of measurable and ambitious targets and be motivated by projected industrial requirements covering a broad range of application scenarios. They should define their target systems and application-linked benchmarks to assess their performances. They should be focused around a coherent set of research themes among those listed in Section 3 above. Each IP would normally assemble multidisciplinary teams for providing integrated solutions from architecture design through development of prototypes, compilers, and other tools, to their demonstration onto specific emerging application domains. Such application domains will be defined by the community with industrial partners having a particularly important role here. They should ensure that the research outcomes address the real issues in future applications. The actual research direction for each IP should not be prescribed, but it should be open to the best ideas from the research community. IPs could work on competing alternatives, or they may address complementary topics. Network of Excellence (NoE): Co-operation across and beyond that operated in the IPs could be organised through a Network of Excellence (NoE). The NoE would aim at grouping the best competencies available in Europe. It could create a basic joint research programme of activities at a number of research centres that have decided to converge their activities on a long-term basis. The main aim is to spread excellence, by bringing together the broader community active in embedded and networked computing architectures in order to provide a framework of co-ordination for research, training and related activities, and allow the progressive and lasting integration of these activities around a set of pre-specified themes. It should help achieve the necessary critical mass of researchers and invest in the future knowledge building and dissemination. Scientific exchange and interaction will allow rapid dissemination of the best ideas. The NoE should also capitalise on the inevitable technical overlap between each vertical Integrated Project, and allow to share ideas and resources and cross-fertilize the ideas between traditionally separate domains. In this respect, it should include in its joint research activities, support to the IPs for the development of agreed sets of performance testing and evaluation benchmarks. Finally, through specific calls for small research grants, the NoE could encourage the best research, which may not fit into any specific application area, as a speculative work can often have a real impact. 7

GRIDS INTRODUCTION TO GRID INFRASTRUCTURES. Fabrizio Gagliardi

GRIDS INTRODUCTION TO GRID INFRASTRUCTURES. Fabrizio Gagliardi GRIDS INTRODUCTION TO GRID INFRASTRUCTURES Fabrizio Gagliardi Dr. Fabrizio Gagliardi is the leader of the EU DataGrid project and designated director of the proposed EGEE (Enabling Grids for E-science

More information

Parallel Computer Architecture

Parallel Computer Architecture Parallel Computer Architecture What is Parallel Architecture? A parallel computer is a collection of processing elements that cooperate to solve large problems fast Some broad issues: Resource Allocation:»

More information

Energy Efficient Computing Systems (EECS) Magnus Jahre Coordinator, EECS

Energy Efficient Computing Systems (EECS) Magnus Jahre Coordinator, EECS Energy Efficient Computing Systems (EECS) Magnus Jahre Coordinator, EECS Who am I? Education Master of Technology, NTNU, 2007 PhD, NTNU, 2010. Title: «Managing Shared Resources in Chip Multiprocessor Memory

More information

6 February Parallel Computing: A View From Berkeley. E. M. Hielscher. Introduction. Applications and Dwarfs. Hardware. Programming Models

6 February Parallel Computing: A View From Berkeley. E. M. Hielscher. Introduction. Applications and Dwarfs. Hardware. Programming Models Parallel 6 February 2008 Motivation All major processor manufacturers have switched to parallel architectures This switch driven by three Walls : the Power Wall, Memory Wall, and ILP Wall Power = Capacitance

More information

Networking for a dynamic infrastructure: getting it right.

Networking for a dynamic infrastructure: getting it right. IBM Global Technology Services Networking for a dynamic infrastructure: getting it right. A guide for realizing the full potential of virtualization June 2009 Executive summary June 2009 Networking for

More information

Call for expression of interest in leadership roles for the Supergen Energy Networks Hub

Call for expression of interest in leadership roles for the Supergen Energy Networks Hub Call for expression of interest in leadership roles for the Supergen Energy Networks Hub Call announced: 4 th September 2017 Deadline for applications: Midday 29 th September 2017 Provisional decision

More information

Multi-threading technology and the challenges of meeting performance and power consumption demands for mobile applications

Multi-threading technology and the challenges of meeting performance and power consumption demands for mobile applications Multi-threading technology and the challenges of meeting performance and power consumption demands for mobile applications September 2013 Navigating between ever-higher performance targets and strict limits

More information

THE RTOS AS THE ENGINE POWERING THE INTERNET OF THINGS

THE RTOS AS THE ENGINE POWERING THE INTERNET OF THINGS THE RTOS AS THE ENGINE POWERING THE INTERNET OF THINGS By Bill Graham and Michael Weinstein WHEN IT MATTERS, IT RUNS ON WIND RIVER EXECUTIVE SUMMARY Driven by the convergence of cloud technology, rapidly

More information

The Cray Rainier System: Integrated Scalar/Vector Computing

The Cray Rainier System: Integrated Scalar/Vector Computing THE SUPERCOMPUTER COMPANY The Cray Rainier System: Integrated Scalar/Vector Computing Per Nyberg 11 th ECMWF Workshop on HPC in Meteorology Topics Current Product Overview Cray Technology Strengths Rainier

More information

Intel: Driving the Future of IT Technologies. Kevin C. Kahn Senior Fellow, Intel Labs Intel Corporation

Intel: Driving the Future of IT Technologies. Kevin C. Kahn Senior Fellow, Intel Labs Intel Corporation Research @ Intel: Driving the Future of IT Technologies Kevin C. Kahn Senior Fellow, Intel Labs Intel Corporation kp Intel Labs Mission To fuel Intel s growth, we deliver breakthrough technologies that

More information

Multi-Core Microprocessor Chips: Motivation & Challenges

Multi-Core Microprocessor Chips: Motivation & Challenges Multi-Core Microprocessor Chips: Motivation & Challenges Dileep Bhandarkar, Ph. D. Architect at Large DEG Architecture & Planning Digital Enterprise Group Intel Corporation October 2005 Copyright 2005

More information

More Course Information

More Course Information More Course Information Labs and lectures are both important Labs: cover more on hands-on design/tool/flow issues Lectures: important in terms of basic concepts and fundamentals Do well in labs Do well

More information

INtelligent solutions 2ward the Development of Railway Energy and Asset Management Systems in Europe.

INtelligent solutions 2ward the Development of Railway Energy and Asset Management Systems in Europe. INtelligent solutions 2ward the Development of Railway Energy and Asset Management Systems in Europe. Introduction to IN2DREAMS The predicted growth of transport, especially in European railway infrastructures,

More information

3D systems-on-chip. A clever partitioning of circuits to improve area, cost, power and performance. The 3D technology landscape

3D systems-on-chip. A clever partitioning of circuits to improve area, cost, power and performance. The 3D technology landscape Edition April 2017 Semiconductor technology & processing 3D systems-on-chip A clever partitioning of circuits to improve area, cost, power and performance. In recent years, the technology of 3D integration

More information

Part IV. Chapter 15 - Introduction to MIMD Architectures

Part IV. Chapter 15 - Introduction to MIMD Architectures D. Sima, T. J. Fountain, P. Kacsuk dvanced Computer rchitectures Part IV. Chapter 15 - Introduction to MIMD rchitectures Thread and process-level parallel architectures are typically realised by MIMD (Multiple

More information

W H I T E P A P E R U n l o c k i n g t h e P o w e r o f F l a s h w i t h t h e M C x - E n a b l e d N e x t - G e n e r a t i o n V N X

W H I T E P A P E R U n l o c k i n g t h e P o w e r o f F l a s h w i t h t h e M C x - E n a b l e d N e x t - G e n e r a t i o n V N X Global Headquarters: 5 Speen Street Framingham, MA 01701 USA P.508.872.8200 F.508.935.4015 www.idc.com W H I T E P A P E R U n l o c k i n g t h e P o w e r o f F l a s h w i t h t h e M C x - E n a b

More information

NATIONAL CYBER SECURITY STRATEGY. - Version 2.0 -

NATIONAL CYBER SECURITY STRATEGY. - Version 2.0 - NATIONAL CYBER SECURITY STRATEGY - Version 2.0 - CONTENTS SUMMARY... 3 1 INTRODUCTION... 4 2 GENERAL PRINCIPLES AND OBJECTIVES... 5 3 ACTION FRAMEWORK STRATEGIC OBJECTIVES... 6 3.1 Determining the stakeholders

More information

Mapping Multi-Million Gate SoCs on FPGAs: Industrial Methodology and Experience

Mapping Multi-Million Gate SoCs on FPGAs: Industrial Methodology and Experience Mapping Multi-Million Gate SoCs on FPGAs: Industrial Methodology and Experience H. Krupnova CMG/FMVG, ST Microelectronics Grenoble, France Helena.Krupnova@st.com Abstract Today, having a fast hardware

More information

White Paper Assessing FPGA DSP Benchmarks at 40 nm

White Paper Assessing FPGA DSP Benchmarks at 40 nm White Paper Assessing FPGA DSP Benchmarks at 40 nm Introduction Benchmarking the performance of algorithms, devices, and programming methodologies is a well-worn topic among developers and research of

More information

UNIT 4 INTEGRATED CIRCUIT DESIGN METHODOLOGY E5163

UNIT 4 INTEGRATED CIRCUIT DESIGN METHODOLOGY E5163 UNIT 4 INTEGRATED CIRCUIT DESIGN METHODOLOGY E5163 LEARNING OUTCOMES 4.1 DESIGN METHODOLOGY By the end of this unit, student should be able to: 1. Explain the design methodology for integrated circuit.

More information

GN3plus External Advisory Committee. White Paper on the Structure of GÉANT Research & Development

GN3plus External Advisory Committee. White Paper on the Structure of GÉANT Research & Development White Paper on the Structure of GÉANT Research & Development Executive Summary The External Advisory Committee (EAC) of GN3plus is a consultative and advisory body providing an external perspective to

More information

Enhancing Analysis-Based Design with Quad-Core Intel Xeon Processor-Based Workstations

Enhancing Analysis-Based Design with Quad-Core Intel Xeon Processor-Based Workstations Performance Brief Quad-Core Workstation Enhancing Analysis-Based Design with Quad-Core Intel Xeon Processor-Based Workstations With eight cores and up to 80 GFLOPS of peak performance at your fingertips,

More information

ECE 486/586. Computer Architecture. Lecture # 2

ECE 486/586. Computer Architecture. Lecture # 2 ECE 486/586 Computer Architecture Lecture # 2 Spring 2015 Portland State University Recap of Last Lecture Old view of computer architecture: Instruction Set Architecture (ISA) design Real computer architecture:

More information

Gen-Z Overview. 1. Introduction. 2. Background. 3. A better way to access data. 4. Why a memory-semantic fabric

Gen-Z Overview. 1. Introduction. 2. Background. 3. A better way to access data. 4. Why a memory-semantic fabric Gen-Z Overview 1. Introduction Gen-Z is a new data access technology that will allow business and technology leaders, to overcome current challenges with the existing computer architecture and provide

More information

ASIC, Customer-Owned Tooling, and Processor Design

ASIC, Customer-Owned Tooling, and Processor Design ASIC, Customer-Owned Tooling, and Processor Design Design Style Myths That Lead EDA Astray Nancy Nettleton Manager, VLSI ASIC Device Engineering April 2000 Design Style Myths COT is a design style that

More information

Trends in HPC (hardware complexity and software challenges)

Trends in HPC (hardware complexity and software challenges) Trends in HPC (hardware complexity and software challenges) Mike Giles Oxford e-research Centre Mathematical Institute MIT seminar March 13th, 2013 Mike Giles (Oxford) HPC Trends March 13th, 2013 1 / 18

More information

WORK PROGRAMME

WORK PROGRAMME WORK PROGRAMME 2014 2015 Topic ICT 9: Tools and Methods for Software Development Michel LACROIX European Commission DG CONNECT Software & Services, Cloud michel.lacroix@ec.europa.eu From FP7 to H2020 Preparation

More information

ECE 637 Integrated VLSI Circuits. Introduction. Introduction EE141

ECE 637 Integrated VLSI Circuits. Introduction. Introduction EE141 ECE 637 Integrated VLSI Circuits Introduction EE141 1 Introduction Course Details Instructor Mohab Anis; manis@vlsi.uwaterloo.ca Text Digital Integrated Circuits, Jan Rabaey, Prentice Hall, 2 nd edition

More information

Lecture 1: Introduction

Lecture 1: Introduction Contemporary Computer Architecture Instruction set architecture Lecture 1: Introduction CprE 581 Computer Systems Architecture, Fall 2016 Reading: Textbook, Ch. 1.1-1.7 Microarchitecture; examples: Pipeline

More information

Brussels, 19 May 2011 COUNCIL THE EUROPEAN UNION 10299/11 TELECOM 71 DATAPROTECT 55 JAI 332 PROCIV 66. NOTE From : COREPER

Brussels, 19 May 2011 COUNCIL THE EUROPEAN UNION 10299/11 TELECOM 71 DATAPROTECT 55 JAI 332 PROCIV 66. NOTE From : COREPER COUNCIL OF THE EUROPEAN UNION Brussels, 19 May 2011 10299/11 TELECOM 71 DATAPROTECT 55 JAI 332 PROCIV 66 NOTE From : COREPER To: COUNCIL No Cion. prop.: 8548/11 TELECOM 40 DATAPROTECT 27 JAI 213 PROCIV38

More information

UPCRC Overview. Universal Computing Research Centers launched at UC Berkeley and UIUC. Andrew A. Chien. Vice President of Research Intel Corporation

UPCRC Overview. Universal Computing Research Centers launched at UC Berkeley and UIUC. Andrew A. Chien. Vice President of Research Intel Corporation UPCRC Overview Universal Computing Research Centers launched at UC Berkeley and UIUC Andrew A. Chien Vice President of Research Intel Corporation Announcement Key Messages Microsoft and Intel are announcing

More information

NIS Directive : Call for Proposals

NIS Directive : Call for Proposals National Cyber Security Centre, in Collaboration with the Research Institute in Trustworthy Inter-connected Cyber-physical Systems (RITICS) Summary NIS Directive : Call for Proposals Closing date: Friday

More information

FPGA & Hybrid Systems in the Enterprise Drivers, Exemplars and Challenges

FPGA & Hybrid Systems in the Enterprise Drivers, Exemplars and Challenges Bob Blainey IBM Software Group 27 Feb 2011 FPGA & Hybrid Systems in the Enterprise Drivers, Exemplars and Challenges Workshop on The Role of FPGAs in a Converged Future with Heterogeneous Programmable

More information

Microprocessor Trends and Implications for the Future

Microprocessor Trends and Implications for the Future Microprocessor Trends and Implications for the Future John Mellor-Crummey Department of Computer Science Rice University johnmc@rice.edu COMP 522 Lecture 4 1 September 2016 Context Last two classes: from

More information

Multicore Computing and Scientific Discovery

Multicore Computing and Scientific Discovery scientific infrastructure Multicore Computing and Scientific Discovery James Larus Dennis Gannon Microsoft Research In the past half century, parallel computers, parallel computation, and scientific research

More information

Supercomputing and Mass Market Desktops

Supercomputing and Mass Market Desktops Supercomputing and Mass Market Desktops John Manferdelli Microsoft Corporation This presentation is for informational purposes only. Microsoft makes no warranties, express or implied, in this summary.

More information

Research towards the finalization of European Transport Information System (ETIS)

Research towards the finalization of European Transport Information System (ETIS) Research towards the finalization of European Transport Information System (ETIS) A. Ballis, Ass. Professor, E. Koukoutsis, Ass. Professor I. Lagou, Researcher, S. Zannos, PhD. Candidate F. Giannopoulos,

More information

Evolution of Computers & Microprocessors. Dr. Cahit Karakuş

Evolution of Computers & Microprocessors. Dr. Cahit Karakuş Evolution of Computers & Microprocessors Dr. Cahit Karakuş Evolution of Computers First generation (1939-1954) - vacuum tube IBM 650, 1954 Evolution of Computers Second generation (1954-1959) - transistor

More information

The University of Queensland

The University of Queensland UQ Cyber Security Strategy 2017-2020 NAME: UQ Cyber Security Strategy DATE: 21/07/2017 RELEASE:0.2 Final AUTHOR: OWNER: CLIENT: Marc Blum Chief Information Officer Strategic Information Technology Council

More information

the steps that IS Services should take to ensure that this document is aligned with the SNH s KIMS and SNH s Change Requirement;

the steps that IS Services should take to ensure that this document is aligned with the SNH s KIMS and SNH s Change Requirement; Shaping the Future of IS and ICT in SNH: 2014-2019 SNH s IS/ICT Vision We will develop the ICT infrastructure to support the business needs of our customers. Our ICT infrastructure and IS/GIS solutions

More information

SYMANTEC: SECURITY ADVISORY SERVICES. Symantec Security Advisory Services The World Leader in Information Security

SYMANTEC: SECURITY ADVISORY SERVICES. Symantec Security Advisory Services The World Leader in Information Security SYMANTEC: SECURITY ADVISORY SERVICES Symantec Security Advisory Services The World Leader in Information Security Knowledge, as the saying goes, is power. At Symantec we couldn t agree more. And when it

More information

The Use of Cloud Computing Resources in an HPC Environment

The Use of Cloud Computing Resources in an HPC Environment The Use of Cloud Computing Resources in an HPC Environment Bill, Labate, UCLA Office of Information Technology Prakashan Korambath, UCLA Institute for Digital Research & Education Cloud computing becomes

More information

Whitepaper: FPGA-Controlled Test (FCT): What it is and why is it needed?

Whitepaper: FPGA-Controlled Test (FCT): What it is and why is it needed? Whitepaper: FPGA-Controlled Test (FCT): What it is and why is it needed? By Al Crouch Chief Technologist, Core Instrumentation ASSET InterTech ASSET InterTech, Inc. 2201 N. Central Expressway, Suite 105

More information

The Future of High Performance Computing

The Future of High Performance Computing The Future of High Performance Computing Randal E. Bryant Carnegie Mellon University http://www.cs.cmu.edu/~bryant Comparing Two Large-Scale Systems Oakridge Titan Google Data Center 2 Monolithic supercomputer

More information

NEW APPROACHES TO HARDWARE ACCELERATION USING ULTRA LOW DENSITY FPGAs

NEW APPROACHES TO HARDWARE ACCELERATION USING ULTRA LOW DENSITY FPGAs NEW APPROACHES TO HARDWARE ACCELERATION USING ULTRA LOW DENSITY FPGAs August 2013 Lattice Semiconductor 5555 Northeast Moore Ct. Hillsboro, Oregon 97124 USA Telephone: (503) 268-8000 www.latticesemi.com

More information

Session: Configurable Systems. Tailored SoC building using reconfigurable IP blocks

Session: Configurable Systems. Tailored SoC building using reconfigurable IP blocks IP 08 Session: Configurable Systems Tailored SoC building using reconfigurable IP blocks Lodewijk T. Smit, Gerard K. Rauwerda, Jochem H. Rutgers, Maciej Portalski and Reinier Kuipers Recore Systems www.recoresystems.com

More information

Motivation for Parallelism. Motivation for Parallelism. ILP Example: Loop Unrolling. Types of Parallelism

Motivation for Parallelism. Motivation for Parallelism. ILP Example: Loop Unrolling. Types of Parallelism Motivation for Parallelism Motivation for Parallelism The speed of an application is determined by more than just processor speed. speed Disk speed Network speed... Multiprocessors typically improve the

More information

OPERA. Low Power Heterogeneous Architecture for the Next Generation of Smart Infrastructure and Platforms in Industrial and Societal Applications

OPERA. Low Power Heterogeneous Architecture for the Next Generation of Smart Infrastructure and Platforms in Industrial and Societal Applications OPERA Low Power Heterogeneous Architecture for the Next Generation of Smart Infrastructure and Platforms in Industrial and Societal Applications Co-funded by the Horizon 2020 Framework Programme of the

More information

Information and Communication Technologies (ICT) thematic area

Information and Communication Technologies (ICT) thematic area Information and Communication Technologies (ICT) thematic area Network & Service Infrastructures 1.1 The Network of the Future (Call 4, Call 5) 2009-2010 a) Future Internet Architectures and Network Technologies

More information

Choosing an Intellectual Property Core

Choosing an Intellectual Property Core Choosing an Intellectual Property Core MIPS Technologies, Inc. June 2002 One of the most important product development decisions facing SOC designers today is choosing an intellectual property (IP) core.

More information

RSA Solution Brief. Managing Risk Within Advanced Security Operations. RSA Solution Brief

RSA Solution Brief. Managing Risk Within Advanced Security Operations. RSA Solution Brief RSA Solution Brief Managing Risk Within Advanced Security Operations RSA Solution Brief How do you advance your security operations function? Increasingly sophisticated security threats and the growing

More information

Networking for a smarter data center: Getting it right

Networking for a smarter data center: Getting it right IBM Global Technology Services October 2011 Networking for a smarter data center: Getting it right Planning the network needed for a dynamic infrastructure 2 Networking for a smarter data center: Getting

More information

Multiprocessing and Scalability. A.R. Hurson Computer Science and Engineering The Pennsylvania State University

Multiprocessing and Scalability. A.R. Hurson Computer Science and Engineering The Pennsylvania State University A.R. Hurson Computer Science and Engineering The Pennsylvania State University 1 Large-scale multiprocessor systems have long held the promise of substantially higher performance than traditional uniprocessor

More information

PROJECT FINAL REPORT. Tel: Fax:

PROJECT FINAL REPORT. Tel: Fax: PROJECT FINAL REPORT Grant Agreement number: 262023 Project acronym: EURO-BIOIMAGING Project title: Euro- BioImaging - Research infrastructure for imaging technologies in biological and biomedical sciences

More information

Computer Architecture!

Computer Architecture! Informatics 3 Computer Architecture! Dr. Vijay Nagarajan and Prof. Nigel Topham! Institute for Computing Systems Architecture, School of Informatics! University of Edinburgh! General Information! Instructors

More information

Fundamentals of Quantitative Design and Analysis

Fundamentals of Quantitative Design and Analysis Fundamentals of Quantitative Design and Analysis Dr. Jiang Li Adapted from the slides provided by the authors Computer Technology Performance improvements: Improvements in semiconductor technology Feature

More information

Long Term Trends for Embedded System Design

Long Term Trends for Embedded System Design Long Term Trends for Embedded System Design Ahmed Amine JERRAYA Laboratoire TIMA, 46 Avenue Félix Viallet, 38031 Grenoble CEDEX, France Email: Ahmed.Jerraya@imag.fr Abstract. An embedded system is an application

More information

THE STATE OF IT TRANSFORMATION FOR RETAIL

THE STATE OF IT TRANSFORMATION FOR RETAIL THE STATE OF IT TRANSFORMATION FOR RETAIL An Analysis by Dell EMC and VMware Dell EMC and VMware are helping IT groups at retail organizations transform to business-focused service providers. The State

More information

Chapter 2. Literature Survey. 2.1 Remote access technologies

Chapter 2. Literature Survey. 2.1 Remote access technologies Chapter 2 Literature Survey This chapter presents a brief report on literature reviewed in context to present work with an aim to identify current state of research in the domain. Literature review is

More information

Assessment of the progress made in the implementation of and follow-up to the outcomes of the World Summit on the Information Society

Assessment of the progress made in the implementation of and follow-up to the outcomes of the World Summit on the Information Society ECOSOC Resolution 2008/3 Assessment of the progress made in the implementation of and follow-up to the outcomes of the World Summit on the Information Society The Economic and Social Council, Recalling

More information

Standardization Activities in ITU-T

Standardization Activities in ITU-T Standardization Activities in ITU-T Nozomu NISHINAGA and Suyong Eum Standardization activities for Future Networks in ITU-T have produced 19 Recommendations since it was initiated in 2009. The brief history

More information

Chapter 5: ASICs Vs. PLDs

Chapter 5: ASICs Vs. PLDs Chapter 5: ASICs Vs. PLDs 5.1 Introduction A general definition of the term Application Specific Integrated Circuit (ASIC) is virtually every type of chip that is designed to perform a dedicated task.

More information

Copyright 2012, Elsevier Inc. All rights reserved.

Copyright 2012, Elsevier Inc. All rights reserved. Computer Architecture A Quantitative Approach, Fifth Edition Chapter 1 Fundamentals of Quantitative Design and Analysis 1 Computer Technology Performance improvements: Improvements in semiconductor technology

More information

CAN on Integration Technologies

CAN on Integration Technologies CAN on Integration Technologies CAN technology has reached the mature state where the powerful network technology is well covered by standard parts; mainly processors with integrated CAN periphery. Nevertheless

More information

The Past, Present and Future of High Performance Computing

The Past, Present and Future of High Performance Computing The Past, Present and Future of High Performance Computing Ruud van der Pas 1 Sun Microsystems, Technical Developer Tools 16 Network Circle, Mailstop MK16-319, Menlo Park, CA 94025, USA ruud.vanderpas@sun.com

More information

Embedded Systems: Projects

Embedded Systems: Projects December 2015 Embedded Systems: Projects Davide Zoni PhD email: davide.zoni@polimi.it webpage: home.dei.polimi.it/zoni Research Activities Interconnect: bus, NoC Simulation (component design, evaluation)

More information

Computer Architecture A Quantitative Approach, Fifth Edition. Chapter 1. Copyright 2012, Elsevier Inc. All rights reserved. Computer Technology

Computer Architecture A Quantitative Approach, Fifth Edition. Chapter 1. Copyright 2012, Elsevier Inc. All rights reserved. Computer Technology Computer Architecture A Quantitative Approach, Fifth Edition Chapter 1 Fundamentals of Quantitative Design and Analysis 1 Computer Technology Performance improvements: Improvements in semiconductor technology

More information

ehealth Ministerial Conference 2013 Dublin May 2013 Irish Presidency Declaration

ehealth Ministerial Conference 2013 Dublin May 2013 Irish Presidency Declaration ehealth Ministerial Conference 2013 Dublin 13 15 May 2013 Irish Presidency Declaration Irish Presidency Declaration Ministers of Health of the Member States of the European Union and delegates met on 13

More information

Copyright Khronos Group Page 1. Vulkan Overview. June 2015

Copyright Khronos Group Page 1. Vulkan Overview. June 2015 Copyright Khronos Group 2015 - Page 1 Vulkan Overview June 2015 Copyright Khronos Group 2015 - Page 2 Khronos Connects Software to Silicon Open Consortium creating OPEN STANDARD APIs for hardware acceleration

More information

High Performance Computing in Europe and USA: A Comparison

High Performance Computing in Europe and USA: A Comparison High Performance Computing in Europe and USA: A Comparison Erich Strohmaier 1 and Hans W. Meuer 2 1 NERSC, Lawrence Berkeley National Laboratory, USA 2 University of Mannheim, Germany 1 Introduction In

More information

WHITE PAPER. Photonic Integration

WHITE PAPER. Photonic Integration WHITE PAPER Photonic Integration In the world of microprocessors, we have seen tremendous increases in computational power with simultaneous decreases in cost and power consumption resulting from integration

More information

A Study of High Performance Computing and the Cray SV1 Supercomputer. Michael Sullivan TJHSST Class of 2004

A Study of High Performance Computing and the Cray SV1 Supercomputer. Michael Sullivan TJHSST Class of 2004 A Study of High Performance Computing and the Cray SV1 Supercomputer Michael Sullivan TJHSST Class of 2004 June 2004 0.1 Introduction A supercomputer is a device for turning compute-bound problems into

More information

END-TO-END RECONFIGURABILITY II: TOWARDS SEAMLESS EXPERIENCE

END-TO-END RECONFIGURABILITY II: TOWARDS SEAMLESS EXPERIENCE END-TO-END RECONFIGURABILITY II: TOWARDS SEAMLESS EXPERIENCE Didier Bourse, Karim El-Khazen, David Bateman (Motorola Labs, France) Marylin Arndt (France Telecom, France) Nancy Alonistioti (University of

More information

Oracle and Tangosol Acquisition Announcement

Oracle and Tangosol Acquisition Announcement Oracle and Tangosol Acquisition Announcement March 23, 2007 The following is intended to outline our general product direction. It is intended for information purposes only, and may

More information

1. Publishable Summary

1. Publishable Summary 1. Publishable Summary 1.1Project objectives and context Identity management (IdM) has emerged as a promising technology to distribute identity information across security domains. In e-business scenarios,

More information

Hybrid IT Managed Services

Hybrid IT Managed Services Hybrid IT Managed Services Working together on your digital journey Welcome to the new world of Hybrid IT Managed Services. Digital technology is rapidly changing and improving our world. As your organisation

More information

ADAPTIVE AND DYNAMIC LOAD BALANCING METHODOLOGIES FOR DISTRIBUTED ENVIRONMENT

ADAPTIVE AND DYNAMIC LOAD BALANCING METHODOLOGIES FOR DISTRIBUTED ENVIRONMENT ADAPTIVE AND DYNAMIC LOAD BALANCING METHODOLOGIES FOR DISTRIBUTED ENVIRONMENT PhD Summary DOCTORATE OF PHILOSOPHY IN COMPUTER SCIENCE & ENGINEERING By Sandip Kumar Goyal (09-PhD-052) Under the Supervision

More information

What to Look for in a Partner for Software-Defined Data Center (SDDC)

What to Look for in a Partner for Software-Defined Data Center (SDDC) What to Look for in a Partner for Software-Defined Data Center (SDDC) WHITE PAPER Software-defined data center (SDDC) has the potential to deliver enormous business value to organizations of any size and

More information

L3/L4 Multiple Level Cache concept using ADS

L3/L4 Multiple Level Cache concept using ADS L3/L4 Multiple Level Cache concept using ADS Hironao Takahashi 1,2, Hafiz Farooq Ahmad 2,3, Kinji Mori 1 1 Department of Computer Science, Tokyo Institute of Technology 2-12-1 Ookayama Meguro, Tokyo, 152-8522,

More information

Lecture 1: Course Introduction and Overview Prof. Randy H. Katz Computer Science 252 Spring 1996

Lecture 1: Course Introduction and Overview Prof. Randy H. Katz Computer Science 252 Spring 1996 Lecture 1: Course Introduction and Overview Prof. Randy H. Katz Computer Science 252 Spring 1996 RHK.S96 1 Computer Architecture Is the attributes of a [computing] system as seen by the programmer, i.e.,

More information

SYSPRO s Fluid Interface Design

SYSPRO s Fluid Interface Design SYSPRO s Fluid Interface Design Introduction The world of computer-user interaction has come a long way since the beginning of the Graphical User Interface, but still most application interfaces are not

More information

ACCI Recommendations on Long Term Cyberinfrastructure Issues: Building Future Development

ACCI Recommendations on Long Term Cyberinfrastructure Issues: Building Future Development ACCI Recommendations on Long Term Cyberinfrastructure Issues: Building Future Development Jeremy Fischer Indiana University 9 September 2014 Citation: Fischer, J.L. 2014. ACCI Recommendations on Long Term

More information

SOFTWARE ARCHITECTURE & DESIGN INTRODUCTION

SOFTWARE ARCHITECTURE & DESIGN INTRODUCTION SOFTWARE ARCHITECTURE & DESIGN INTRODUCTION http://www.tutorialspoint.com/software_architecture_design/introduction.htm Copyright tutorialspoint.com The architecture of a system describes its major components,

More information

Memory Systems IRAM. Principle of IRAM

Memory Systems IRAM. Principle of IRAM Memory Systems 165 other devices of the module will be in the Standby state (which is the primary state of all RDRAM devices) or another state with low-power consumption. The RDRAM devices provide several

More information

NetSpeed ORION: A New Approach to Design On-chip Interconnects. August 26 th, 2013

NetSpeed ORION: A New Approach to Design On-chip Interconnects. August 26 th, 2013 NetSpeed ORION: A New Approach to Design On-chip Interconnects August 26 th, 2013 INTERCONNECTS BECOMING INCREASINGLY IMPORTANT Growing number of IP cores Average SoCs today have 100+ IPs Mixing and matching

More information

System Design and Methodology/ Embedded Systems Design (Modeling and Design of Embedded Systems)

System Design and Methodology/ Embedded Systems Design (Modeling and Design of Embedded Systems) Design&Methodologies Fö 1&2-1 Design&Methodologies Fö 1&2-2 Course Information Design and Methodology/ Embedded s Design (Modeling and Design of Embedded s) TDTS07/TDDI08 Web page: http://www.ida.liu.se/~tdts07

More information

CHAPTER 1 INTRODUCTION

CHAPTER 1 INTRODUCTION CHAPTER 1 INTRODUCTION Rapid advances in integrated circuit technology have made it possible to fabricate digital circuits with large number of devices on a single chip. The advantages of integrated circuits

More information

COMMON MODULES: Aerospace Electronics, Computer & Mobile Technology, and Microelectronics specialisations and the Minor in Business Management

COMMON MODULES: Aerospace Electronics, Computer & Mobile Technology, and Microelectronics specialisations and the Minor in Business Management COURSE MODULES LEVEL 3.1 & 3.2 6-Month Internship In this module, students will be attached to sponsoring companies for a period of approximately six months. During their internships, students will undertake

More information

Version 11

Version 11 The Big Challenges Networked and Electronic Media European Technology Platform The birth of a new sector www.nem-initiative.org Version 11 1. NEM IN THE WORLD The main objective of the Networked and Electronic

More information

Efficient, Scalable, and Provenance-Aware Management of Linked Data

Efficient, Scalable, and Provenance-Aware Management of Linked Data Efficient, Scalable, and Provenance-Aware Management of Linked Data Marcin Wylot 1 Motivation and objectives of the research The proliferation of heterogeneous Linked Data on the Web requires data management

More information

HPC Considerations for Scalable Multidiscipline CAE Applications on Conventional Linux Platforms. Author: Correspondence: ABSTRACT:

HPC Considerations for Scalable Multidiscipline CAE Applications on Conventional Linux Platforms. Author: Correspondence: ABSTRACT: HPC Considerations for Scalable Multidiscipline CAE Applications on Conventional Linux Platforms Author: Stan Posey Panasas, Inc. Correspondence: Stan Posey Panasas, Inc. Phone +510 608 4383 Email sposey@panasas.com

More information

Low-Power Technology for Image-Processing LSIs

Low-Power Technology for Image-Processing LSIs Low- Technology for Image-Processing LSIs Yoshimi Asada The conventional LSI design assumed power would be supplied uniformly to all parts of an LSI. For a design with multiple supply voltages and a power

More information

Technology challenges and trends over the next decade (A look through a 2030 crystal ball) Al Gara Intel Fellow & Chief HPC System Architect

Technology challenges and trends over the next decade (A look through a 2030 crystal ball) Al Gara Intel Fellow & Chief HPC System Architect Technology challenges and trends over the next decade (A look through a 2030 crystal ball) Al Gara Intel Fellow & Chief HPC System Architect Today s Focus Areas For Discussion Will look at various technologies

More information

Lecture 1: January 23

Lecture 1: January 23 CMPSCI 677 Distributed and Operating Systems Spring 2019 Lecture 1: January 23 Lecturer: Prashant Shenoy Scribe: Jonathan Westin (2019), Bin Wang (2018) 1.1 Introduction to the course The lecture started

More information

Fundamentals of Computer Design

Fundamentals of Computer Design Fundamentals of Computer Design Computer Architecture J. Daniel García Sánchez (coordinator) David Expósito Singh Francisco Javier García Blas ARCOS Group Computer Science and Engineering Department University

More information

Choosing the Right Photonic Design Software

Choosing the Right Photonic Design Software White Paper Choosing the Right Photonic Design Software September 2016 Authors Chenglin Xu RSoft Product Manager, Synopsys Dan Herrmann CAE Manager, Synopsys Introduction There are many factors to consider

More information

Accelerating Implementation of Low Power Artificial Intelligence at the Edge

Accelerating Implementation of Low Power Artificial Intelligence at the Edge Accelerating Implementation of Low Power Artificial Intelligence at the Edge A Lattice Semiconductor White Paper November 2018 The emergence of smart factories, cities, homes and mobile are driving shifts

More information

APPLICATIONS FOR EMBEDDED COMESH William Bricken January 2003 ADVANTAGES

APPLICATIONS FOR EMBEDDED COMESH William Bricken January 2003 ADVANTAGES APPLICATIONS FOR EMBEDDED COMESH William Bricken January 2003 ADVANTAGES The advantages of an embedded reconfigurable component are processing speed and reconfigurability. Speed is an advantage for applications

More information

Computer Architecture

Computer Architecture Informatics 3 Computer Architecture Dr. Vijay Nagarajan Institute for Computing Systems Architecture, School of Informatics University of Edinburgh (thanks to Prof. Nigel Topham) General Information Instructor

More information

Objective ICT : Networks of the Future

Objective ICT : Networks of the Future FP7 ICT Call 4 InfoDay Minsk, Belarus, Dec. 9 th 2008. Objective 1.1: Andrzej J. Galik National Contact Point for Research Programmes of EU Institute of Fundamental Technological Research Polish Academy

More information