Automatic Generation of Transaction-Level Models for Rapid Design Space Exploration

Size: px
Start display at page:

Download "Automatic Generation of Transaction-Level Models for Rapid Design Space Exploration"

Transcription

1 Automati Generation of Transation-Level Models for Rapid Design Spae Exploration Dongwan Shin, Andreas Gerstlauer, Junyu Peng, Rainer Dömer and Daniel D. Gajski Center for Embedded Computer Systems University of California Irvine CA USA {dongwans, gerstl, pengj, doemer, ABSTRACT Transation-level modeling has been touted to improve simulation performane and modeling effiieny for early design spae exploration. But no tools are available to generate suh transation-level models from abstrat input desriptions. Designers have to write suh models manually, whih is a tedious and error-prone task, and one of bottleneks in improving designer s produtivity. In this paper, we propose a method to generate transation-level models from virtual arhiteture models where omponents ommuniate via abstrat message-passing hannels. We have applied our approah to a set of industrial-strength examples with a wide range of target arhitetures. Experimental results show that signifiant produtivity gains an be ahieved, demonstrating the effetiveness and benefits of our approah for rapid, early exploration of ommuniation design spae. Categories and Subjet Desriptors J.6 [Computer-Aided Engineering]: CAD General Terms Design, Alogithms Keywords ommuniation synthesis, transation-level model 1. INTRODUCTION As system-on-hip (SoC) designs grow in omplexity and size, on-hip ommuniation is beoming an inreasingly important fator. In order to explore the ommuniation design spae, designers use models whih are evaluated through simulation. Typially, these models are manually written, whih is a tedious, error-prone and time-onsuming proess. Furthermore, to ahieve required auraies, models are written at low levels of abstration with resulting slow Permission to make digital or hard opies of all or part of this work for personal or lassroom use is granted without fee provided that opies are not made or distributed for profit or ommerial advantage and that opies bear this notie and the full itation on the first page. To opy otherwise, to republish, to post on servers or to redistribute to lists, requires prior speifi permission and/or a fee. CODES+ISSS 06, Otober 22 25, 2006, Seoul, Korea. Copyright 2006 ACM /06/ $5.00. simulation performane. Together, this severely limits the amount of design spae that an be explored in a reasonable time. Reently, a lot of researh on defining ommuniation models at different levels of abstrations has been proposed in order to improve simulation performane. This trend is now leveraged by the transation-level model () paradigm, whih provides system-level bus interfaes at higher levels of abstration. In this paper, we propose an approah for automati generation of s from an abstrat desription of the partitioned system proessing arhiteture. The rest of the paper is organized as follows: We show a brief overview of related work in Setion 2. Setion 3 introdues the overall design flow and the inputs and outputs of the ommuniation synthesis. Setion 4 will present the details of the ommuniation refinement proess. Finally, experimental results are shown in Setion 5 and the paper onludes with a summary in Setion RELATED WORK There is a wealth of system-level design languages (SLDL) like SystemC [4], or SpeC [5] available for modeling and desribing systems at different levels of abstration. However, the languages themselves do not define any details of atual onrete design flows. More reently, SLDLs have been proposed as vehiles for so-alled transation-level modeling for ommuniation abstration [1, 4, 6, 9]. However, no speifi definition of the level of abstration and the semantis of transations in suh models have been given. Furthermore, proposals so far fous on simulation only and lak the path to vertial integration of models for implementation and synthesis. Historially, a lot of work has foused on automating the deision making proess for ommuniation design [7, 8, 10] without, however, providing orresponding design models or a path to implementation. More reently, work has been done to target automati generation [11, 15] of ommuniation, but in all ases, the approahes are usually limited to speifi target arhiteture templates or narrow input model semantis. Reently, some ommerial tools [2, 3] are beginning to apture designs at the transation-level. In ontrast to suh existing shemati entry tools that simply provide an interfae for plugging existing base models together graphially, the ontribution of this paper is to generate onrete, detailed s from abstrat virtual arhiteture models of a system. 64

2 GUI Design deisions: Arhiteture model HW IP B1 B B3 B4 Bus alloation Protool seletion Connetivity Channel mapping Address mapping Interrupt mapping Arbitration Communiation refinement Transation -level model Bus/CE base pubus B r IPBus int v1[100]; double v2; OS Model HW1 HW2 Figure 2: Arhiteture model example. Bus1 Bus2 TX Ctrl HW IP MBus HW1 HW2 Figure 1: Communiation design flow. 3. COMMUNICATION DESIGN FLOW Figure 1 shows the proposed ommuniation design flow. Design deisions are made by the user and entered into the system through a graphial user interfae (GUI). With the design deisions, the user speifies the desired target arhiteture and the mapping of ommuniation onto this arhiteture. Based on these deisions and given the design model at the input of the flow, refinement tool synthesizes the respetive implementation of the ommuniation and generate the resulting design model at the output of the flow. In the proess, refinement tool relies on a set of bases that provide models of ommuniation elements (CEs), busses and other ommuniation strutures. Our ommuniation design flow starts with a virtual arhiteture model of the system in whih proessing elements (PEs) ommuniate via abstrat hannels. During ommuniation synthesis, the global system network is designed and end-to-end ommuniation between PEs is mapped into point-to-point ommuniation between stations (PEs and CEs) of the network arhiteture. Then, logial links between adjaent stations are grouped and implemented over an atual ommuniation medium. As a result of the ommuniation design proess, a transation-level model of the system is generated. The [1, 4, 6] abstrats pin-level ommuniation to the level of individual bus protool transations in order to aelerate model simulations. 3.1 Input Arhiteture Model The arhiteture model is the starting point for ommuniation design. This input arhiteture model may be manually oded or an be automatially generated by virtual arhiteture generation tools [12]. It follows ertain predefined semantis (see Setion 4.1) and reflets the intended virtual proessing arhiteture of the system with respet to the PEs that are present in the design. Eah omponent in the virtual arhiteture is a PE that exeutes a speifi appliation behavior in parallel with other PEs. Communiation inside a PE takes plae through its loal memory. It is thus not a onern for system ommuniation synthesis. Inter-PE ommuniation by the appliation in the arhiteture model takes plae through abstrat, high-level hannels of untimed message-passing or shared memory semantis. Figure 2 shows an example of an arhiteture model. The appliation has been mapped onto a system arhiteture onsisting of a proessor ( ), a ustom hardware oproessor (HW1 ), a ustom hardware peripheral (HW2 ) and a system memory ( ). Inside the, tasks are dynam- Figure 3: Target arhiteture for Figure 2. ially sheduled under the ontrol of an operating system model [16]. In addition to ommuniating via hannels (1, 2 and 3 ), PEs exhange by aessing variables (v1 and v2 ) stored in the shared memory ( ) and exported by the memory through its external hannel interfae. At its interfae, the memory provides methods to read and write the value of eah variable in the memory. 3.2 User Deisions Design deisions inlude alloation of system busses, protool seletion, alloation and seletion of CEs (routers and bridges), definition of the onnetivity between omponents and busses, mapping and routing of abstrat ommuniation over busses, delaration of masters and slaves, and assignment of bus addresses, interrupts, and aess priorities for eah message. Through the user deisions, the target arhiteture for the design is defined. In our ase, the target arhiteture is limited to networks of busses in a forest of trees topology, i.e. there are no yles. Figure 3 shows the target arhiteture hosen for the previously introdued example (Figure 2). In this example, we alloate three busses: a bus Bus1 as the main system bus, a memory bus MBus, and a peripheral bus Bus2. and HW1 PEs are diretly onneted to the system bus. A memory ontroller CE Ctrl is alloated and onneted to bridge between the system and memory bus protools. Finally, a router CE TX is inserted to onnet and translate between system and peripheral busses. 3.3 Databases The bases onsist of a transation-level bus base and a CE base. The bus base ontains models of busses inluding assoiated protools. Bus models in the base implement the primitives defined by the bus protool for transfers and arbitration. They provide an abstration of external ommuniation into links and memory aesses by using and ombining bus primitives to regulate media aesses and slie abstrat into bus words. Eah bus model an have two separate sides with different implementations for bus masters and bus slaves. The CE base ontains bridge and transduer omponents that inlude attributes like name, type and assoiated bus protools. The models of CEs in the base, however, are empty shells that are void of any funtionality. will be synthesized by the refinement tool. They 65

3 TX reeiver Bus1 ma ma Bus2 write write reeiver reeiver reeiver Ctrl ma inta intb ma ma notify read notify read write read notify Mbus M L1 L3 inta L3 M L1 ak har[4k] B1 OS Model B2 ISR HW1 B3 HW2 Figure 4: Transation-level model example. 3.4 Transation-level Model At the output, refinement produes a. The aurately desribes the system ommuniation arhiteture down to the level of individual bus protool read and write transations. In our transation-level modeling of a system, the omputation is estimated time-aurate and the ommuniation is bus-yle aurate (Programmer s View + Timing) [14]. Figure 4 shows the generated for the input arhiteture model example from Figure 2. Refleting the originally defined target arhiteture (Figure 3), omponents ommuniate via bus protool transation hannels for Bus1, Bus2 and MBus. Transation-level implementations from the bus base together with automatially generated implementations of higher protool staks are inserted into eah onneted omponent. For the programmable PE ( ), its transation-level implementation is taken from the base, onneted at the media aess level, ustomized by generating appropriate interrupt handlers and filled with the PE s appliation ode. 4. COMMUNICATION REFINEMENT In this setion, we will look at details of model transformations that are performed during refinement. 4.1 Input Communiation Channels Four different types of abstrat ommuniation are supported in an arhiteture model at the input of refinement: synhronous and asynhronous message passing, shared memory aesses and events. The ommuniation semantis of these hannels are shown in the form of state diagrams in Figure 5. In synhronous message passing, as shown in Figure 5(a), both the and the reeiver meet in a rendezvous fashion to safely exhange. More speifially, the stores its into the hannel, notifies the reeiver that the is ready, and then s for the reeiver to aknowledge the reeipt of the. The reeiver, on the other hand, first s for notifiation of arrival, then gets it and aknowledges the reeption. In short, synhronous message passing oneptually utilizes a two-way handshake mehanism to ensure reliable transport. This way, annot get lost or dupliated. However, both the reeiver and the may be bloked in their exeution. In asynhronous message passing, as shown in Figure 5(b), only the reeiver may be bloked if is not available. The B4 (a) syn. MP (b) asyn. MP () memory (d) event Figure 5: Semantis of ommuniation hannels is not bloked. To avoid the loss of, sent is stored in a queue hannel until it is piked up by the reeiver. As a onsequene, asynhronous message passing is also reliable, but the annot make any assumptions about the point of time when the reeiver atually retrieves the. The third type of ommuniation, a shared memory aess as shown in Figure 5(), exhibits non-bloking ommuniation for both the and the reeiver. The simply writes into a shared storage element from where the an be read by the reeiver at any time. Sine there is no built-in synhronization between the ommuniating parties, this type of transfer is unreliable. Thus, may get lost due to overwriting, or ould get dupliated due to multiple read aesses. Finally, an event hannel, as shown in Figure 5(d), exhibits pure synhronization semantis without any transfer. Here, the reeiver simply s for an event from the before proeeding in its exeution. No is exhanged. In other words, event ommuniation is non-bloking for the, but bloking for the reeiver. Note that this event hannel an be easily ombined with a memory hannel to ahieve reliable ommuniation in shared memory fashion. 4.2 Channel Implementation Given the input arhiteture model, the ommuniation refinement tool will automatially generate an implementation of the abstrat input hannels on the given ommuniation arhiteture. The tool automatially generates and inserts the neessary bus drivers and bus interfaes into the system omponents of the system. The automati generation of bus drivers and interfaes inside omponents adapts aesses from the appliation tasks into transations over the bus hannels onneted to the omponents. The protool staks are ustomized and optimized in terms of (a) station type of the omponent on the bus (master/slave) (b) ommuniation protool, () hannel semantis (Setion 4.1), (d) types of message transfered, and (e) synhronization between omponents Synhronous message passing Synhronous message passing implements two-way blok handshaking, whih does not require any buffers to transfer messages between omponents. In order to preserve the semantis of hannels in the input models, synhronization between omponents has to be introdued whenever neessary. In a bus-based system, we distinguish between master and slave omponents for eah transfer. A master ompo- 66

4 _HAL _OS addr1 addr2 rdyb rdya MasterMAC ID MasterWrap Bus CustomHW addr 1 SlaveMAC addr 2 PE1 () PE2 (reeiver) Queue synmp synmp Figure 7: An implementation of asyn. MP. OSModel intb inta ICL inta intb _HAL _OS addr 1 ID CustomHW addr1 Master link layer Interrupt handler SlaveReady == false A0 Slave link layer MasterMAC MasterWrap Bus SlaveMAC Flag SlaveReady == true I/O ports are not vailable S0 IRQ = false; OSModel I/O ports are not available A1 2 IRQ == false I0 I/O ports are available inta intb ICL inta intb A2 A3 I/O ports are available Reserve I/O ports; MasterRead / Write(Slave#, msg); SlaveReady = false Release I/O ports; I1 IRQ == true SlaveReady = true; Figure 6: Synhronization by interrupts. 3 1 S1 S2 Reserve I/O ports; IRQ = true; SlaveRead/ Write(slave#, msg); Release I/O ports nent will for synhronization from slaves before performing the atual transfer. On the slave side, a slave will notify the master before starting to listen for inoming transfer requests. In ase of busses with inherent two-way synhronization built into their transfer protools (e.g. RS232), no expliit synhronization needs to be implemented by the refinement tool. The synhronization from slave to master in a bus-based ommuniation arhiteture has to been done through interrupts and/or polling. The deision about whih synhronization mehanism to use is done by user. In ase of synhronization via interrupts, the user has to assign interrupt lines for eah transfer (message passing hannel). Transation-level models for programmable omponents in the PE base inlude a definition of their interrupt apabilities. The top-level shell defines the interrupt ports available at the physial omponent interfae, and the hardware abstration layer (HAL) provides orresponding empty interrupt handler templates. During model refinement, interrupt ports from slaves are onneted to the interrupt ports of programmable omponents and interrupt handlers and interrupt tasks are generated in the HAL and operating system, respetively, by filling the orresponding templates of the proessor model. Figure 6 shows the state mahines synthesized inside master and slave omponents whih are synhronized by interrupts. When a slave proess reahes the ommuniation point, it notifies the master that it is ready to start the transfer by sending an interrupt (1). Upon reeiving the interrupt event, the master suspends its exeution and the interrupt handler in the master sets a SlaveReady flag. The master side proess s until the flag is set (2) to initiate the bus transfer. Finally, the slave omponent s for the master to initiate the bus transfer by heking the address bus (3). This mehanism retains the two-way bloking property of any original synhronous message passing ommuniation. One the transfer is omplete, the master omponent resets the SlaveReady flag to prepare for the next slave request. In ase of interrupt sharing due to an insuffiient number of interrupts in the master, interrupt handling is extended to first determine the soure of eah interrupt request via Figure 8: An implementation of event. polling of slaves. Due to spae limitations, implementation of interrupt sharing and polling [13] is not shown here Asynhronous message passing In asynhronous message passing, is stored in a storage element suh as a buffer or queue for reliable transfers. Otherwise, the may get lost when the reeiver is not ready. Asynhronous message passing hannels an have 3 types of implementations depending on whih omponent has the storage element. Users an hoose one of these implementations by assigning asynhronous message passing hannels to orresponding PEs: 1. Stored in : implements the storage element from whih the reeiver gets. Data transfers on the bus happen between the storage element and the reeiver. 2. Stored in reeiver: reeiver implements the storage element. Data transfers on the bus happens between the and the storage element. 3. Stand-alone storage element: the storage is implemented in a separate omponent whih buffers the between and reeiver (Figure 7). As shown in Figure 7, asynhronous message passing hannels are refined down to omponents and synhronous message passing hannels in between. The synhronous message passing hannels are then implemented as explained in Setion Memory aesses The abstrat models of memory aesses need to be replaed with transation-level implementations of memory omponents, taken out of the base. The model refinement will automatially detet orresponding implementations of memory aesses. In ase of memory or register (memory-mapped I/O) aesses, slave omponents are assumed to be always ready and no extra synhronization is neessary. In addition, refinement tool inserts memory drivers that perform formatting from abstrat memory aesses to aesses (based on slie and offset) of the memory over the bus Events Event hannels are used for synhronization only. They do not arry any. An event hannel an be implemented by asynhronous message passing with a flag (1 bit ) through whih a notifies a reeiver that the is ready (Figure 8). As with asynhronous message passing hannels, the reeiver implements the buffer 67

5 BUS1 Router BUS1MAC ID sr dest message state mahine state mahine BUS2MAC BUS2 System delay (ms) PAM Run time (se) PAM 10 MP3.A1 MP3.A2 MP3.A3 MP3.A4 Arhitetures 1 MP3.A1 MP3.A2 MP3.A3 MP3.A4 Arhitetures Figure 9: Routing of message in CEs. to store the value of a flag on the reeiver side. When an interrupt-apable proessor is the reeiver, event hannels are implemented by reeiving an interrupt from the (similar to synhronous MP in Figure 6). 4.3 Arbitration If a omponent internally has multiple tasks whih aess a transation-level bus hannel onurrently, the interfae has to be proteted to avoid potential bus onflits of bus aesses (state A2 and S1 in Figure 6). Therefore, we implement mutual exlusiveness at the interfae of the omponent (MasterWrap adapter in Figure 6 and Figure 8). Arbitration among multiple masters on the bus is implemented as part of transation-level bus hannel. Externally, master omponents have to provide identity information to the bus hannel. For this, the adapter inside the master interfae transfers the identity (ID in MasterWrap adapter in Figure 6 and Figure 8). 4.4 Bus Bridging and Routing If two different bus systems are onneted to eah other, additional CEs suh as bridges and transduers are introdued. CEs split and segment the system of onneted PEs in the arhiteture model into several bus subsystems. Bridges transparently translate between two bus protools diretly at the protool level. A bridge state mahine is generated as the produt of the two bus protool state mahines [13]. In the proess, the two protools are properly interleaved suh that dependenies and timing onstraints are observed. A bridge is always a master on one side and a slave on the other. Between listening for and serving transations on the slave side, it interleaves orresponding mirror transations on its master side (bloking the slave side in the proess, if neessary). In ases where simple bus bridges are not suffiient, transduers are neessary. Transduers operate on pakets using a store-and-forward priniple, routing pakets between their inoming and outgoing links. Transduers an onnet any two bus protools and they an be master or slave on either side. In ontrast to a bridge, transduers internally buffer eah individual bus transations on one side before performing the equivalent transation on the other side. As shown in Figure 9, a transduer model generated by refinement ontains orresponding state mahines for eah diretion of eah hannel rossing the transduer [13]. Note that eah state mahine ontains its own loal buffer, i.e. buffers are not shared, avoiding potential deadloks and looks up the address mapping table to route the messages over the two different busses. 5. EXPERIMENTAL RESULTS In order to demonstrate the feasibility and benefits of our approah in terms of design spae exploration for a wide variety of designs, we applied our design flow and refinement (a) Simulated delays (b) Simulation performane Figure 10: MP3 Exploration results. tool to the design of four industrial-strength examples: a voie ode (Vooder), a JPEG enoder (JPEG), an MP3 deoder (MP3) and a baseband platform example (Baseband) whih is ombination of a voie ode and JPEG enoder. Different arhitetures using Motorola DSP56600 proessors (DSP), Motorola ColdFire proessors (CF ), ARM proessors (ARM ) and ustom hardware units (HW, I/O, DCT, QN, FIL) were generated and various ommuniation arhitetures (DSP bus, CF bus, AMBA bus and simple handshake bus) were tested. Table 1 summarizes the features and parameters of the different design examples we tested. For eah example, the target ommuniation arhiteture, the total number of abstrat hannels and the total traffi in the design are shown. Target arhitetures are speified as a list of masters plus slaves for eah bus in the system where the bus type is impliitly determined to be the protool of the primary master on the bus. For example, in the ase of the MP3 design, the ARM proessor ommuniates with dediated hardware units over its AMBA bus whereas the HW units ommuniate with eah other through separate handshake busses. For simpliity, routing, address and interrupt assignment deisions are not shown in this table. Overall model omplexities are given in terms of ode size using lines of ode (LOC) as a metri. Results show signifiant differenes in omplexity between input and generated output models due to extra implementation detail added between abstration levels. To quantify the atual refinement effort, the number of modified lines (Mod. LOC) is alulated as the sum of lines inserted and lines deleted whereas ode oming from base of predefined ommuniation odes (DB LOC) is exluded 1. We optimistially assume that a person an write 30 lines of orret (tested and debugged) ode per day. Thus, manual refinement would require hundreds of man-days for reasonably omplex designs. Automati refinement, on the other hand, ompletes in the order of seonds. Note that in all ases, arhiteture modes at the input do not have to be hanged, i.e. input models remain the same throughout exploration 2. Results therefore show that a produtivity gain of about 1000 times an be ahieved using the presented approah with automati model refinement. Figure 10 shows the results of exploration of the design spae for the MP3 deoder example. We used four different arhitetures for the MP3 deoder as shown in Table 1. We 1 Our experimental DB inludes models of ARM, ColdFire and Motorola DSP inluding assoiated busses. On average, DB models have omplexities of 1000 LOC per proessor/bus ombination. 2 In both ases, design deisions about the target arhiteture are assumed to be given, i.e. time required for deision making is the same and hene not onsidered further. 68

6 Table 1: Experimental results for different exploration examples. Examples Busses Chnls Traffi Model (LOC) DB Mod. Refine. Time (Masters Slaves) (no.) (bytes) Arh (LOC) (LOC) Tool Manual Vooder A1 DSP 2 I/Os < 1 s 35 days A2 DSP HW, 2 I/Os < 2 s 63 days A1 CF < 1 s 20 days A2 CF DCT, < 1 s 41 days JPEG A3 CF DCT, DMA DMA, DCT < 2 s 55 days A4 CF DCT, QN, DMA DMA, DCT, QN < 3 s 71 days A1 ARM I/O < 2 s 40 days A2 ARM I/O, FIL1, FIL < 5 s 117 days ARM FIL1, FIL2 MP3 A3 I/O FIL < 5 s 116 days I/O FIL2 ARM FIL1, FIL2 A4 I/O Q1 FIL1 I/O Q2 FIL < 6 s 135 days DSP BIO,SIO,HW,TX Baseband A1 CF DMA,TX,BR DMA,BR BR DCT < 8 s 144 days measured whole system delay of eah arhiteture and the simulation time of eah model. As shown in Figure 10, as the number of system omponents inreases with eah arhiteture, the overall performane of the system is improved. In addition, s are as aurate as pin-aurate models (PAMs) but improve simulation speed by around 1000 times ompared to PAMs. Given the design deisions made by the user, it took less than 1 hour to obtain 4 different ommuniation models from an exeutable speifiation model by arhiteture exploration [12] and ommuniation design. 6. CONCLUSION In this paper, we presented an approah for generation of s for SoC ommuniation designs from a partitioned virtual arhiteture model of a system. A orresponding transation-level refinement tool has been developed and integrated into our SoC design environment. Using industrial-strength examples, the feasibility and benefits of the approah have been demonstrated. Automating the tedious and error-prone proess of refining a high-level, abstrat desription of the design into an atual implementation results in signifiant gains in designer produtivity, thus enabling rapid, early exploration of the ommuniation design spae. In the future, we plan to integrate IP omponents with fixed, pre-defined ommuniation protool interfaes and add algorithms for automated design making for optimization. 7. REFERENCES [1] M. Coppola, S. Curaba, M. Grammatikakis, and G. Maruia. IPSIM: SystemC 3.0 enhanements for ommuniation refinement. In Pro. of DATE 03. [2] CoWare Platform Arhitet. Available at http: // [3] ARM MaxSim Tools. Available at [4] T. Grötker, S. Liao, G. Martin, and S. Swan. System Design with SystemC. Kluwer Aademi Publishers, Mar [5] D. D. Gajski, J. Zhu, R. Dömer, A. Gerstlauer, and S. Zhao. SpeC: Speifiation Language and Methodology. Kluwer Aademi Publishers, Jan [6] L. Cai, and D. D. Gajski. Transation Level Modeling: An Overview. In Pro. of CODES+ISSS 03. [7] T. Y Yen, and W. Wolf. Communiation synthesis for distributed embedded systems. In Pro. of ICCAD 95. [8] R. B Ortega, and G. Borriello. Communiation synthesis for distributed embedded systems. In Pro. of ICCAD 98. [9] S. Pasriha, N. Dutt, and M. Ben-Romdhane. Extending the transation level modeling approah for fast ommuniation arhiteture exploration. In Pro. DAC 04. [10] K. Lahiri, A. Raghunathan, and S. Dey. Effiient exploration of the SoC ommuniation arhiteture design spae. In Pro. ICCAD 00. [11] D. Lyonnard, S. Yoo, A. Baghdadi, and A. A. Jerraya. Automati generation of appliation-speifi arhitetures for heterogeneous multiproessor system-on-hip. In Pro. DAC 01. [12] J. Peng. System-Level Automati Model Refinement. PhD thesis, University of California, Irvine, Information and Computer Siene, April [13] A. Gerstlauer, G. Shirner, D. Shin, and J. Peng. Neessary and suffiient funtionality and parameters for SoC Communiation. CECS, Univ. of California, Irvine, Teh. Rep. CECS-TR-06-1, May [14] G. Shirner, and R. Dömer. Quantitative analysis of transation level models for the AMBA bus. In Pro. of DATE 06. [15] A. Wieferink, R. Leupers, G. Asheid, H. Meyer, T. Mihiels, A. Nohl and T. Kogel. Retargetable generation of bus interfaes for MP-SoC platforms. In Pro. of CODES+ISSS 05. [16] H. Yu, A. Gerstlauer, and D. D. Gajski. RTOS sheduling in transation level models. In Pro. of ISSS

Automatic Generation of Communication Architectures

Automatic Generation of Communication Architectures i Topic: Network and communication system Automatic Generation of Communication Architectures Dongwan Shin, Andreas Gerstlauer, Rainer Dömer and Daniel Gajski Center for Embedded Computer Systems University

More information

On - Line Path Delay Fault Testing of Omega MINs M. Bellos 1, E. Kalligeros 1, D. Nikolos 1,2 & H. T. Vergos 1,2

On - Line Path Delay Fault Testing of Omega MINs M. Bellos 1, E. Kalligeros 1, D. Nikolos 1,2 & H. T. Vergos 1,2 On - Line Path Delay Fault Testing of Omega MINs M. Bellos, E. Kalligeros, D. Nikolos,2 & H. T. Vergos,2 Dept. of Computer Engineering and Informatis 2 Computer Tehnology Institute University of Patras,

More information

COSSIM An Integrated Solution to Address the Simulator Gap for Parallel Heterogeneous Systems

COSSIM An Integrated Solution to Address the Simulator Gap for Parallel Heterogeneous Systems COSSIM An Integrated Solution to Address the Simulator Gap for Parallel Heterogeneous Systems Andreas Brokalakis Synelixis Solutions Ltd, Greee brokalakis@synelixis.om Nikolaos Tampouratzis Teleommuniation

More information

What are Cycle-Stealing Systems Good For? A Detailed Performance Model Case Study

What are Cycle-Stealing Systems Good For? A Detailed Performance Model Case Study What are Cyle-Stealing Systems Good For? A Detailed Performane Model Case Study Wayne Kelly and Jiro Sumitomo Queensland University of Tehnology, Australia {w.kelly, j.sumitomo}@qut.edu.au Abstrat The

More information

Outline: Software Design

Outline: Software Design Outline: Software Design. Goals History of software design ideas Design priniples Design methods Life belt or leg iron? (Budgen) Copyright Nany Leveson, Sept. 1999 A Little History... At first, struggling

More information

Pipelined Multipliers for Reconfigurable Hardware

Pipelined Multipliers for Reconfigurable Hardware Pipelined Multipliers for Reonfigurable Hardware Mithell J. Myjak and José G. Delgado-Frias Shool of Eletrial Engineering and Computer Siene, Washington State University Pullman, WA 99164-2752 USA {mmyjak,

More information

Accommodations of QoS DiffServ Over IP and MPLS Networks

Accommodations of QoS DiffServ Over IP and MPLS Networks Aommodations of QoS DiffServ Over IP and MPLS Networks Abdullah AlWehaibi, Anjali Agarwal, Mihael Kadoh and Ahmed ElHakeem Department of Eletrial and Computer Department de Genie Eletrique Engineering

More information

Acoustic Links. Maximizing Channel Utilization for Underwater

Acoustic Links. Maximizing Channel Utilization for Underwater Maximizing Channel Utilization for Underwater Aousti Links Albert F Hairris III Davide G. B. Meneghetti Adihele Zorzi Department of Information Engineering University of Padova, Italy Email: {harris,davide.meneghetti,zorzi}@dei.unipd.it

More information

A Load-Balanced Clustering Protocol for Hierarchical Wireless Sensor Networks

A Load-Balanced Clustering Protocol for Hierarchical Wireless Sensor Networks International Journal of Advanes in Computer Networks and Its Seurity IJCNS A Load-Balaned Clustering Protool for Hierarhial Wireless Sensor Networks Mehdi Tarhani, Yousef S. Kavian, Saman Siavoshi, Ali

More information

The AMDREL Project in Retrospective

The AMDREL Project in Retrospective The AMDREL Projet in Retrospetive K. Siozios 1, G. Koutroumpezis 1, K. Tatas 1, N. Vassiliadis 2, V. Kalenteridis 2, H. Pournara 2, I. Pappas 2, D. Soudris 1, S. Nikolaidis 2, S. Siskos 2, and A. Thanailakis

More information

A Partial Sorting Algorithm in Multi-Hop Wireless Sensor Networks

A Partial Sorting Algorithm in Multi-Hop Wireless Sensor Networks A Partial Sorting Algorithm in Multi-Hop Wireless Sensor Networks Abouberine Ould Cheikhna Department of Computer Siene University of Piardie Jules Verne 80039 Amiens Frane Ould.heikhna.abouberine @u-piardie.fr

More information

System-Level Parallelism and Throughput Optimization in Designing Reconfigurable Computing Applications

System-Level Parallelism and Throughput Optimization in Designing Reconfigurable Computing Applications System-Level Parallelism and hroughput Optimization in Designing Reonfigurable Computing Appliations Esam El-Araby 1, Mohamed aher 1, Kris Gaj 2, arek El-Ghazawi 1, David Caliga 3, and Nikitas Alexandridis

More information

Multi-Channel Wireless Networks: Capacity and Protocols

Multi-Channel Wireless Networks: Capacity and Protocols Multi-Channel Wireless Networks: Capaity and Protools Tehnial Report April 2005 Pradeep Kyasanur Dept. of Computer Siene, and Coordinated Siene Laboratory, University of Illinois at Urbana-Champaign Email:

More information

High-level synthesis under I/O Timing and Memory constraints

High-level synthesis under I/O Timing and Memory constraints Highlevel synthesis under I/O Timing and Memory onstraints Philippe Coussy, Gwenolé Corre, Pierre Bomel, Eri Senn, Eri Martin To ite this version: Philippe Coussy, Gwenolé Corre, Pierre Bomel, Eri Senn,

More information

A DYNAMIC ACCESS CONTROL WITH BINARY KEY-PAIR

A DYNAMIC ACCESS CONTROL WITH BINARY KEY-PAIR Malaysian Journal of Computer Siene, Vol 10 No 1, June 1997, pp 36-41 A DYNAMIC ACCESS CONTROL WITH BINARY KEY-PAIR Md Rafiqul Islam, Harihodin Selamat and Mohd Noor Md Sap Faulty of Computer Siene and

More information

3-D IMAGE MODELS AND COMPRESSION - SYNTHETIC HYBRID OR NATURAL FIT?

3-D IMAGE MODELS AND COMPRESSION - SYNTHETIC HYBRID OR NATURAL FIT? 3-D IMAGE MODELS AND COMPRESSION - SYNTHETIC HYBRID OR NATURAL FIT? Bernd Girod, Peter Eisert, Marus Magnor, Ekehard Steinbah, Thomas Wiegand Te {girod eommuniations Laboratory, University of Erlangen-Nuremberg

More information

Zippy - A coarse-grained reconfigurable array with support for hardware virtualization

Zippy - A coarse-grained reconfigurable array with support for hardware virtualization Zippy - A oarse-grained reonfigurable array with support for hardware virtualization Christian Plessl Computer Engineering and Networks Lab ETH Zürih, Switzerland plessl@tik.ee.ethz.h Maro Platzner Department

More information

Extracting Partition Statistics from Semistructured Data

Extracting Partition Statistics from Semistructured Data Extrating Partition Statistis from Semistrutured Data John N. Wilson Rihard Gourlay Robert Japp Mathias Neumüller Department of Computer and Information Sienes University of Strathlyde, Glasgow, UK {jnw,rsg,rpj,mathias}@is.strath.a.uk

More information

RAC 2 E: Novel Rendezvous Protocol for Asynchronous Cognitive Radios in Cooperative Environments

RAC 2 E: Novel Rendezvous Protocol for Asynchronous Cognitive Radios in Cooperative Environments 21st Annual IEEE International Symposium on Personal, Indoor and Mobile Radio Communiations 1 RAC 2 E: Novel Rendezvous Protool for Asynhronous Cognitive Radios in Cooperative Environments Valentina Pavlovska,

More information

Establishing Secure Ethernet LANs Using Intelligent Switching Hubs in Internet Environments

Establishing Secure Ethernet LANs Using Intelligent Switching Hubs in Internet Environments Establishing Seure Ethernet LANs Using Intelligent Swithing Hubs in Internet Environments WOEIJIUNN TSAUR AND SHIJINN HORNG Department of Eletrial Engineering, National Taiwan University of Siene and Tehnology,

More information

Analysis of input and output configurations for use in four-valued CCD programmable logic arrays

Analysis of input and output configurations for use in four-valued CCD programmable logic arrays nalysis of input and output onfigurations for use in four-valued D programmable logi arrays J.T. utler H.G. Kerkhoff ndexing terms: Logi, iruit theory and design, harge-oupled devies bstrat: s in binary,

More information

Automatic Physical Design Tuning: Workload as a Sequence Sanjay Agrawal Microsoft Research One Microsoft Way Redmond, WA, USA +1-(425)

Automatic Physical Design Tuning: Workload as a Sequence Sanjay Agrawal Microsoft Research One Microsoft Way Redmond, WA, USA +1-(425) Automati Physial Design Tuning: Workload as a Sequene Sanjay Agrawal Mirosoft Researh One Mirosoft Way Redmond, WA, USA +1-(425) 75-357 sagrawal@mirosoft.om Eri Chu * Computer Sienes Department University

More information

Flow Demands Oriented Node Placement in Multi-Hop Wireless Networks

Flow Demands Oriented Node Placement in Multi-Hop Wireless Networks Flow Demands Oriented Node Plaement in Multi-Hop Wireless Networks Zimu Yuan Institute of Computing Tehnology, CAS, China {zimu.yuan}@gmail.om arxiv:153.8396v1 [s.ni] 29 Mar 215 Abstrat In multi-hop wireless

More information

Algorithms, Mechanisms and Procedures for the Computer-aided Project Generation System

Algorithms, Mechanisms and Procedures for the Computer-aided Project Generation System Algorithms, Mehanisms and Proedures for the Computer-aided Projet Generation System Anton O. Butko 1*, Aleksandr P. Briukhovetskii 2, Dmitry E. Grigoriev 2# and Konstantin S. Kalashnikov 3 1 Department

More information

The Minimum Redundancy Maximum Relevance Approach to Building Sparse Support Vector Machines

The Minimum Redundancy Maximum Relevance Approach to Building Sparse Support Vector Machines The Minimum Redundany Maximum Relevane Approah to Building Sparse Support Vetor Mahines Xiaoxing Yang, Ke Tang, and Xin Yao, Nature Inspired Computation and Appliations Laboratory (NICAL), Shool of Computer

More information

Exploring the Commonality in Feature Modeling Notations

Exploring the Commonality in Feature Modeling Notations Exploring the Commonality in Feature Modeling Notations Miloslav ŠÍPKA Slovak University of Tehnology Faulty of Informatis and Information Tehnologies Ilkovičova 3, 842 16 Bratislava, Slovakia miloslav.sipka@gmail.om

More information

Partial Character Decoding for Improved Regular Expression Matching in FPGAs

Partial Character Decoding for Improved Regular Expression Matching in FPGAs Partial Charater Deoding for Improved Regular Expression Mathing in FPGAs Peter Sutton Shool of Information Tehnology and Eletrial Engineering The University of Queensland Brisbane, Queensland, 4072, Australia

More information

Cluster-based Cooperative Communication with Network Coding in Wireless Networks

Cluster-based Cooperative Communication with Network Coding in Wireless Networks Cluster-based Cooperative Communiation with Network Coding in Wireless Networks Zygmunt J. Haas Shool of Eletrial and Computer Engineering Cornell University Ithaa, NY 4850, U.S.A. Email: haas@ee.ornell.edu

More information

A Dual-Hamiltonian-Path-Based Multicasting Strategy for Wormhole-Routed Star Graph Interconnection Networks

A Dual-Hamiltonian-Path-Based Multicasting Strategy for Wormhole-Routed Star Graph Interconnection Networks A Dual-Hamiltonian-Path-Based Multiasting Strategy for Wormhole-Routed Star Graph Interonnetion Networks Nen-Chung Wang Department of Information and Communiation Engineering Chaoyang University of Tehnology,

More information

Exploiting Enriched Contextual Information for Mobile App Classification

Exploiting Enriched Contextual Information for Mobile App Classification Exploiting Enrihed Contextual Information for Mobile App Classifiation Hengshu Zhu 1 Huanhuan Cao 2 Enhong Chen 1 Hui Xiong 3 Jilei Tian 2 1 University of Siene and Tehnology of China 2 Nokia Researh Center

More information

Constructing Transaction Serialization Order for Incremental. Data Warehouse Refresh. Ming-Ling Lo and Hui-I Hsiao. IBM T. J. Watson Research Center

Constructing Transaction Serialization Order for Incremental. Data Warehouse Refresh. Ming-Ling Lo and Hui-I Hsiao. IBM T. J. Watson Research Center Construting Transation Serialization Order for Inremental Data Warehouse Refresh Ming-Ling Lo and Hui-I Hsiao IBM T. J. Watson Researh Center July 11, 1997 Abstrat In typial pratie of data warehouse, the

More information

SVC-DASH-M: Scalable Video Coding Dynamic Adaptive Streaming Over HTTP Using Multiple Connections

SVC-DASH-M: Scalable Video Coding Dynamic Adaptive Streaming Over HTTP Using Multiple Connections SVC-DASH-M: Salable Video Coding Dynami Adaptive Streaming Over HTTP Using Multiple Connetions Samar Ibrahim, Ahmed H. Zahran and Mahmoud H. Ismail Department of Eletronis and Eletrial Communiations, Faulty

More information

The SODA AOSE Methodology

The SODA AOSE Methodology The SODA AOSE Methodology Multiagent Systems LM Sistemi Multiagente LM Ambra Molesini & Andrea Omiini {ambra.molesini, andrea.omiini}@unibo.it Dipartimento di Informatia Sienza e Ingegneria (DISI) Alma

More information

DETECTION METHOD FOR NETWORK PENETRATING BEHAVIOR BASED ON COMMUNICATION FINGERPRINT

DETECTION METHOD FOR NETWORK PENETRATING BEHAVIOR BASED ON COMMUNICATION FINGERPRINT DETECTION METHOD FOR NETWORK PENETRATING BEHAVIOR BASED ON COMMUNICATION FINGERPRINT 1 ZHANGGUO TANG, 2 HUANZHOU LI, 3 MINGQUAN ZHONG, 4 JIAN ZHANG 1 Institute of Computer Network and Communiation Tehnology,

More information

Architecture and Performance of the Hitachi SR2201 Massively Parallel Processor System

Architecture and Performance of the Hitachi SR2201 Massively Parallel Processor System Arhiteture and Performane of the Hitahi SR221 Massively Parallel Proessor System Hiroaki Fujii, Yoshiko Yasuda, Hideya Akashi, Yasuhiro Inagami, Makoto Koga*, Osamu Ishihara*, Masamori Kashiyama*, Hideo

More information

Using Game Theory and Bayesian Networks to Optimize Cooperation in Ad Hoc Wireless Networks

Using Game Theory and Bayesian Networks to Optimize Cooperation in Ad Hoc Wireless Networks Using Game Theory and Bayesian Networks to Optimize Cooperation in Ad Ho Wireless Networks Giorgio Quer, Federio Librino, Lua Canzian, Leonardo Badia, Mihele Zorzi, University of California San Diego La

More information

Approximate logic synthesis for error tolerant applications

Approximate logic synthesis for error tolerant applications Approximate logi synthesis for error tolerant appliations Doohul Shin and Sandeep K. Gupta Eletrial Engineering Department, University of Southern California, Los Angeles, CA 989 {doohuls, sandeep}@us.edu

More information

We don t need no generation - a practical approach to sliding window RLNC

We don t need no generation - a practical approach to sliding window RLNC We don t need no generation - a pratial approah to sliding window RLNC Simon Wunderlih, Frank Gabriel, Sreekrishna Pandi, Frank H.P. Fitzek Deutshe Telekom Chair of Communiation Networks, TU Dresden, Dresden,

More information

The recursive decoupling method for solving tridiagonal linear systems

The recursive decoupling method for solving tridiagonal linear systems Loughborough University Institutional Repository The reursive deoupling method for solving tridiagonal linear systems This item was submitted to Loughborough University's Institutional Repository by the/an

More information

Communication Link Synthesis for SoC

Communication Link Synthesis for SoC Communication Link Synthesis for SoC Dongwan Shin, Andreas Gerstlauer and Daniel Gajski Technical Report CECS-04-16 June 10, 2004 Center for Embedded Computer Systems University of California, Irvine Irvine,

More information

Volume 3, Issue 9, September 2013 International Journal of Advanced Research in Computer Science and Software Engineering

Volume 3, Issue 9, September 2013 International Journal of Advanced Research in Computer Science and Software Engineering Volume 3, Issue 9, September 2013 ISSN: 2277 128X International Journal of Advaned Researh in Computer Siene and Software Engineering Researh Paper Available online at: www.ijarsse.om A New-Fangled Algorithm

More information

Methods for Multi-Dimensional Robustness Optimization in Complex Embedded Systems

Methods for Multi-Dimensional Robustness Optimization in Complex Embedded Systems Methods for Multi-Dimensional Robustness Optimization in Complex Embedded Systems Arne Hamann, Razvan Rau, Rolf Ernst Institute of Computer and Communiation Network Engineering Tehnial University of Braunshweig,

More information

Scheduling Multiple Independent Hard-Real-Time Jobs on a Heterogeneous Multiprocessor

Scheduling Multiple Independent Hard-Real-Time Jobs on a Heterogeneous Multiprocessor Sheduling Multiple Independent Hard-Real-Time Jobs on a Heterogeneous Multiproessor Orlando Moreira NXP Semiondutors Researh Eindhoven, Netherlands orlando.moreira@nxp.om Frederio Valente Universidade

More information

Cross-layer Resource Allocation on Broadband Power Line Based on Novel QoS-priority Scheduling Function in MAC Layer

Cross-layer Resource Allocation on Broadband Power Line Based on Novel QoS-priority Scheduling Function in MAC Layer Communiations and Networ, 2013, 5, 69-73 http://dx.doi.org/10.4236/n.2013.53b2014 Published Online September 2013 (http://www.sirp.org/journal/n) Cross-layer Resoure Alloation on Broadband Power Line Based

More information

Test Case Generation from UML State Machines

Test Case Generation from UML State Machines Test Case Generation from UML State Mahines Dirk Seifert To ite this version: Dirk Seifert. Test Case Generation from UML State Mahines. [Researh Report] 2008. HAL Id: inria-00268864

More information

Z8530 Programming Guide

Z8530 Programming Guide Z8530 Programming Guide Alan Cox alan@redhat.om Z8530 Programming Guide by Alan Cox Copyright 2000 by Alan Cox This doumentation is free software; you an redistribute it and/or modify it under the terms

More information

Algorithms for External Memory Lecture 6 Graph Algorithms - Weighted List Ranking

Algorithms for External Memory Lecture 6 Graph Algorithms - Weighted List Ranking Algorithms for External Memory Leture 6 Graph Algorithms - Weighted List Ranking Leturer: Nodari Sithinava Sribe: Andi Hellmund, Simon Ohsenreither 1 Introdution & Motivation After talking about I/O-effiient

More information

System-On-Chip Architecture Modeling Style Guide

System-On-Chip Architecture Modeling Style Guide Center for Embedded Computer Systems University of California, Irvine System-On-Chip Architecture Modeling Style Guide Junyu Peng Andreas Gerstlauer Rainer Dömer Daniel D. Gajski Technical Report CECS-TR-04-22

More information

On Dynamic Server Provisioning in Multi-channel P2P Live Streaming

On Dynamic Server Provisioning in Multi-channel P2P Live Streaming On Dynami Server Provisioning in Multi-hannel P2P Live Streaming Chuan Wu Baohun Li Shuqiao Zhao Department of Computer Siene Department of Eletrial Multimedia Development Group The University of Hong

More information

Implementing Load-Balanced Switches With Fat-Tree Networks

Implementing Load-Balanced Switches With Fat-Tree Networks Implementing Load-Balaned Swithes With Fat-Tree Networks Hung-Shih Chueh, Ching-Min Lien, Cheng-Shang Chang, Jay Cheng, and Duan-Shin Lee Department of Eletrial Engineering & Institute of Communiations

More information

An Approach to Physics Based Surrogate Model Development for Application with IDPSA

An Approach to Physics Based Surrogate Model Development for Application with IDPSA An Approah to Physis Based Surrogate Model Development for Appliation with IDPSA Ignas Mikus a*, Kaspar Kööp a, Marti Jeltsov a, Yuri Vorobyev b, Walter Villanueva a, and Pavel Kudinov a a Royal Institute

More information

Performance Benchmarks for an Interactive Video-on-Demand System

Performance Benchmarks for an Interactive Video-on-Demand System Performane Benhmarks for an Interative Video-on-Demand System. Guo,P.G.Taylor,E.W.M.Wong,S.Chan,M.Zukerman andk.s.tang ARC Speial Researh Centre for Ultra-Broadband Information Networks (CUBIN) Department

More information

This fact makes it difficult to evaluate the cost function to be minimized

This fact makes it difficult to evaluate the cost function to be minimized RSOURC LLOCTION N SSINMNT In the resoure alloation step the amount of resoures required to exeute the different types of proesses is determined. We will refer to the time interval during whih a proess

More information

Parallel Block-Layered Nonbinary QC-LDPC Decoding on GPU

Parallel Block-Layered Nonbinary QC-LDPC Decoding on GPU Parallel Blok-Layered Nonbinary QC-LDPC Deoding on GPU Huyen Thi Pham, Sabooh Ajaz and Hanho Lee Department of Information and Communiation Engineering, Inha University, Inheon, 42-751, Korea Abstrat This

More information

Episode 12: TCP/IP & UbiComp

Episode 12: TCP/IP & UbiComp Episode 12: TCP/IP & UbiComp Hannes Frey and Peter Sturm University of Trier Outline Introdution Mobile IP TCP and Mobility Conlusion Referenes [1] James D. Solomon, Mobile IP: The Unplugged, Prentie Hall,

More information

- 1 - S 21. Directory-based Administration of Virtual Private Networks: Policy & Configuration. Charles A Kunzinger.

- 1 - S 21. Directory-based Administration of Virtual Private Networks: Policy & Configuration. Charles A Kunzinger. - 1 - S 21 Diretory-based Administration of Virtual Private Networks: Poliy & Configuration Charles A Kunzinger kunzinge@us.ibm.om - 2 - Clik here Agenda to type page title What is a VPN? What is VPN Poliy?

More information

Capturing Large Intra-class Variations of Biometric Data by Template Co-updating

Capturing Large Intra-class Variations of Biometric Data by Template Co-updating Capturing Large Intra-lass Variations of Biometri Data by Template Co-updating Ajita Rattani University of Cagliari Piazza d'armi, Cagliari, Italy ajita.rattani@diee.unia.it Gian Lua Marialis University

More information

A Formal Hybrid Analysis Technique for Composite Web Services Verification

A Formal Hybrid Analysis Technique for Composite Web Services Verification A Formal Hybrid Analysis Tehnique for Composite Web Servies Verifiation MAY HAIDAR 1,2, HICHAM H. HALLAL 1 1 Computer Siene Department / Department of Eletrial Engineering Fahad Bin Sultan University P.O

More information

Direct-Mapped Caches

Direct-Mapped Caches A Case for Diret-Mapped Cahes Mark D. Hill University of Wisonsin ahe is a small, fast buffer in whih a system keeps those parts, of the ontents of a larger, slower memory that are likely to be used soon.

More information

A Dictionary based Efficient Text Compression Technique using Replacement Strategy

A Dictionary based Efficient Text Compression Technique using Replacement Strategy A based Effiient Text Compression Tehnique using Replaement Strategy Debashis Chakraborty Assistant Professor, Department of CSE, St. Thomas College of Engineering and Tehnology, Kolkata, 700023, India

More information

THROUGHPUT EVALUATION OF AN ASYMMETRICAL FDDI TOKEN RING NETWORK WITH MULTIPLE CLASSES OF TRAFFIC

THROUGHPUT EVALUATION OF AN ASYMMETRICAL FDDI TOKEN RING NETWORK WITH MULTIPLE CLASSES OF TRAFFIC THROUGHPUT EVALUATION OF AN ASYMMETRICAL FDDI TOKEN RING NETWORK WITH MULTIPLE CLASSES OF TRAFFIC Priya N. Werahera and Anura P. Jayasumana Department of Eletrial Engineering Colorado State University

More information

The Tofu Interconnect D

The Tofu Interconnect D 2018 IEEE International Conferene on Cluster Computing The Tofu Interonnet D Yuihiro Ajima, Takahiro Kawashima, Takayuki Okamoto, Naoyuki Shida, Kouihi Hirai, Toshiyuki Shimizu Next Generation Tehnial

More information

Multi-Piece Mold Design Based on Linear Mixed-Integer Program Toward Guaranteed Optimality

Multi-Piece Mold Design Based on Linear Mixed-Integer Program Toward Guaranteed Optimality INTERNATIONAL CONFERENCE ON MANUFACTURING AUTOMATION (ICMA200) Multi-Piee Mold Design Based on Linear Mixed-Integer Program Toward Guaranteed Optimality Stephen Stoyan, Yong Chen* Epstein Department of

More information

COST PERFORMANCE ASPECTS OF CCD FAST AUXILIARY MEMORY

COST PERFORMANCE ASPECTS OF CCD FAST AUXILIARY MEMORY COST PERFORMANCE ASPECTS OF CCD FAST AUXILIARY MEMORY Dileep P, Bhondarkor Texas Instruments Inorporated Dallas, Texas ABSTRACT Charge oupled devies (CCD's) hove been mentioned as potential fast auxiliary

More information

Announcements. Lecture Caching Issues for Multi-core Processors. Shared Vs. Private Caches for Small-scale Multi-core

Announcements. Lecture Caching Issues for Multi-core Processors. Shared Vs. Private Caches for Small-scale Multi-core Announements Your fous should be on the lass projet now Leture 17: Cahing Issues for Multi-ore Proessors This week: status update and meeting A short presentation on: projet desription (problem, importane,

More information

Real-Time Control for a Turbojet Engine

Real-Time Control for a Turbojet Engine A Multiproessor mplementation of Real-Time Control for a Turbojet Engine Phillip L. Shaffer ABSTRACT: A real-time ontrol program for a turbojet engine has been implemented on a four-proessor omputer, ahieving

More information

Performance of Histogram-Based Skin Colour Segmentation for Arms Detection in Human Motion Analysis Application

Performance of Histogram-Based Skin Colour Segmentation for Arms Detection in Human Motion Analysis Application World Aademy of Siene, Engineering and Tehnology 8 009 Performane of Histogram-Based Skin Colour Segmentation for Arms Detetion in Human Motion Analysis Appliation Rosalyn R. Porle, Ali Chekima, Farrah

More information

Learning Convention Propagation in BeerAdvocate Reviews from a etwork Perspective. Abstract

Learning Convention Propagation in BeerAdvocate Reviews from a etwork Perspective. Abstract CS 9 Projet Final Report: Learning Convention Propagation in BeerAdvoate Reviews from a etwork Perspetive Abstrat We look at the way onventions propagate between reviews on the BeerAdvoate dataset, and

More information

Fuzzy Meta Node Fuzzy Metagraph and its Cluster Analysis

Fuzzy Meta Node Fuzzy Metagraph and its Cluster Analysis Journal of Computer Siene 4 (): 9-97, 008 ISSN 549-3636 008 Siene Publiations Fuzzy Meta Node Fuzzy Metagraph and its Cluster Analysis Deepti Gaur, Aditya Shastri and Ranjit Biswas Department of Computer

More information

A Coarse-to-Fine Classification Scheme for Facial Expression Recognition

A Coarse-to-Fine Classification Scheme for Facial Expression Recognition A Coarse-to-Fine Classifiation Sheme for Faial Expression Reognition Xiaoyi Feng 1,, Abdenour Hadid 1 and Matti Pietikäinen 1 1 Mahine Vision Group Infoteh Oulu and Dept. of Eletrial and Information Engineering

More information

Anonymity Trilemma: Strong Anonymity, Low Bandwidth, Low Latency Choose Two

Anonymity Trilemma: Strong Anonymity, Low Bandwidth, Low Latency Choose Two Anonymity Trilemma: Strong Anonymity, Low Bandwidth, Low Lateny Choose Two Debajyoti Das Purdue University, USA das48@purdue.edu Sebastian Meiser University College London, U s.meiser@ul.a.uk Esfandiar

More information

HEXA: Compact Data Structures for Faster Packet Processing

HEXA: Compact Data Structures for Faster Packet Processing Washington University in St. Louis Washington University Open Sholarship All Computer Siene and Engineering Researh Computer Siene and Engineering Report Number: 27-26 27 HEXA: Compat Data Strutures for

More information

A {k, n}-secret Sharing Scheme for Color Images

A {k, n}-secret Sharing Scheme for Color Images A {k, n}-seret Sharing Sheme for Color Images Rastislav Luka, Konstantinos N. Plataniotis, and Anastasios N. Venetsanopoulos The Edward S. Rogers Sr. Dept. of Eletrial and Computer Engineering, University

More information

CleanUp: Improving Quadrilateral Finite Element Meshes

CleanUp: Improving Quadrilateral Finite Element Meshes CleanUp: Improving Quadrilateral Finite Element Meshes Paul Kinney MD-10 ECC P.O. Box 203 Ford Motor Company Dearborn, MI. 8121 (313) 28-1228 pkinney@ford.om Abstrat: Unless an all quadrilateral (quad)

More information

Reduced-Complexity Column-Layered Decoding and. Implementation for LDPC Codes

Reduced-Complexity Column-Layered Decoding and. Implementation for LDPC Codes Redued-Complexity Column-Layered Deoding and Implementation for LDPC Codes Zhiqiang Cui 1, Zhongfeng Wang 2, Senior Member, IEEE, and Xinmiao Zhang 3 1 Qualomm In., San Diego, CA 92121, USA 2 Broadom Corp.,

More information

Performance Improvement of TCP on Wireless Cellular Networks by Adaptive FEC Combined with Explicit Loss Notification

Performance Improvement of TCP on Wireless Cellular Networks by Adaptive FEC Combined with Explicit Loss Notification erformane Improvement of TC on Wireless Cellular Networks by Adaptive Combined with Expliit Loss tifiation Masahiro Miyoshi, Masashi Sugano, Masayuki Murata Department of Infomatis and Mathematial Siene,

More information

mahines. HBSP enhanes the appliability of the BSP model by inorporating parameters that reet the relative speeds of the heterogeneous omputing omponen

mahines. HBSP enhanes the appliability of the BSP model by inorporating parameters that reet the relative speeds of the heterogeneous omputing omponen The Heterogeneous Bulk Synhronous Parallel Model Tiani L. Williams and Rebea J. Parsons Shool of Computer Siene University of Central Florida Orlando, FL 32816-2362 fwilliams,rebeag@s.uf.edu Abstrat. Trends

More information

Reducing Runtime Complexity of Long-Running Application Services via Dynamic Profiling and Dynamic Bytecode Adaptation for Improved Quality of Service

Reducing Runtime Complexity of Long-Running Application Services via Dynamic Profiling and Dynamic Bytecode Adaptation for Improved Quality of Service Reduing Runtime Complexity of Long-Running Appliation Servies via Dynami Profiling and Dynami Byteode Adaptation for Improved Quality of Servie ABSTRACT John Bergin Performane Engineering Laboratory University

More information

A Novel Validity Index for Determination of the Optimal Number of Clusters

A Novel Validity Index for Determination of the Optimal Number of Clusters IEICE TRANS. INF. & SYST., VOL.E84 D, NO.2 FEBRUARY 2001 281 LETTER A Novel Validity Index for Determination of the Optimal Number of Clusters Do-Jong KIM, Yong-Woon PARK, and Dong-Jo PARK, Nonmembers

More information

Improved flooding of broadcast messages using extended multipoint relaying

Improved flooding of broadcast messages using extended multipoint relaying Improved flooding of broadast messages using extended multipoint relaying Pere Montolio Aranda a, Joaquin Garia-Alfaro a,b, David Megías a a Universitat Oberta de Catalunya, Estudis d Informàtia, Mulimèdia

More information

Installation & Operation Manual 6060 MODBUS PROTOCOL. This manual is a supplement to the 6060 Full Installation & Operation Manual PK514

Installation & Operation Manual 6060 MODBUS PROTOCOL. This manual is a supplement to the 6060 Full Installation & Operation Manual PK514 Installation & Operation Manual 6060 MODBUS PROTOCOL This manual is a supplement to the 6060 Full Installation & Operation Manual PK514 PK539 0037-75565 July 2016 1 Explanation of Symbols: General Information

More information

The Implementation of RRTs for a Remote-Controlled Mobile Robot

The Implementation of RRTs for a Remote-Controlled Mobile Robot ICCAS5 June -5, KINEX, Gyeonggi-Do, Korea he Implementation of RRs for a Remote-Controlled Mobile Robot Chi-Won Roh*, Woo-Sub Lee **, Sung-Chul Kang *** and Kwang-Won Lee **** * Intelligent Robotis Researh

More information

KERNEL SPARSE REPRESENTATION WITH LOCAL PATTERNS FOR FACE RECOGNITION

KERNEL SPARSE REPRESENTATION WITH LOCAL PATTERNS FOR FACE RECOGNITION KERNEL SPARSE REPRESENTATION WITH LOCAL PATTERNS FOR FACE RECOGNITION Cuiui Kang 1, Shengai Liao, Shiming Xiang 1, Chunhong Pan 1 1 National Laboratory of Pattern Reognition, Institute of Automation, Chinese

More information

Allocating Rotating Registers by Scheduling

Allocating Rotating Registers by Scheduling Alloating Rotating Registers by Sheduling Hongbo Rong Hyunhul Park Cheng Wang Youfeng Wu Programming Systems Lab Intel Labs {hongbo.rong,hyunhul.park,heng..wang,youfeng.wu}@intel.om ABSTRACT A rotating

More information

Graph-Based vs Depth-Based Data Representation for Multiview Images

Graph-Based vs Depth-Based Data Representation for Multiview Images Graph-Based vs Depth-Based Data Representation for Multiview Images Thomas Maugey, Antonio Ortega, Pasal Frossard Signal Proessing Laboratory (LTS), Eole Polytehnique Fédérale de Lausanne (EPFL) Email:

More information

Uplink Channel Allocation Scheme and QoS Management Mechanism for Cognitive Cellular- Femtocell Networks

Uplink Channel Allocation Scheme and QoS Management Mechanism for Cognitive Cellular- Femtocell Networks 62 Uplink Channel Alloation Sheme and QoS Management Mehanism for Cognitive Cellular- Femtoell Networks Kien Du Nguyen 1, Hoang Nam Nguyen 1, Hiroaki Morino 2 and Iwao Sasase 3 1 University of Engineering

More information

Hard-Potato Routing. Maurice Herlihy y Brown University Providence, Rhode Island packets.

Hard-Potato Routing. Maurice Herlihy y Brown University Providence, Rhode Island packets. Hard-Potato Routing Costas Bush Λ Brown University Providene, Rhode Island b@s.brown.edu Maurie Herlihy y Brown University Providene, Rhode Island herlihy@s.brown.edu Roger Wattenhofer z Brown University

More information

Fast Distribution of Replicated Content to Multi- Homed Clients Mohammad Malli Arab Open University, Beirut, Lebanon

Fast Distribution of Replicated Content to Multi- Homed Clients Mohammad Malli Arab Open University, Beirut, Lebanon ACEEE Int. J. on Information Tehnology, Vol. 3, No. 2, June 2013 Fast Distribution of Repliated Content to Multi- Homed Clients Mohammad Malli Arab Open University, Beirut, Lebanon Email: mmalli@aou.edu.lb

More information

13.1 Numerical Evaluation of Integrals Over One Dimension

13.1 Numerical Evaluation of Integrals Over One Dimension 13.1 Numerial Evaluation of Integrals Over One Dimension A. Purpose This olletion of subprograms estimates the value of the integral b a f(x) dx where the integrand f(x) and the limits a and b are supplied

More information

Improved Circuit-to-CNF Transformation for SAT-based ATPG

Improved Circuit-to-CNF Transformation for SAT-based ATPG Improved Ciruit-to-CNF Transformation for SAT-based ATPG Daniel Tille 1 René Krenz-Bååth 2 Juergen Shloeffel 2 Rolf Drehsler 1 1 Institute of Computer Siene, University of Bremen, 28359 Bremen, Germany

More information

the data. Structured Principal Component Analysis (SPCA)

the data. Structured Principal Component Analysis (SPCA) Strutured Prinipal Component Analysis Kristin M. Branson and Sameer Agarwal Department of Computer Siene and Engineering University of California, San Diego La Jolla, CA 9193-114 Abstrat Many tasks involving

More information

RS485 Transceiver Component

RS485 Transceiver Component RS485 Transeiver Component Publiation Date: 2013/3/25 XMOS 2013, All Rights Reserved. RS485 Transeiver Component 2/12 Table of Contents 1 Overview 3 2 Resoure Requirements 4 3 Hardware Platforms 5 3.1

More information

Scalable TCP: Improving Performance in Highspeed Wide Area Networks

Scalable TCP: Improving Performance in Highspeed Wide Area Networks Salable TP: Improving Performane in Highspeed Wide Area Networks Tom Kelly ERN - IT Division Geneva 3 Switzerland tk@am.a.uk ABSTRAT TP ongestion ontrol an perform badly in highspeed wide area networks

More information

New Channel Allocation Techniques for Power Efficient WiFi Networks

New Channel Allocation Techniques for Power Efficient WiFi Networks ew Channel Alloation Tehniques for Power Effiient WiFi etworks V. Miliotis, A. Apostolaras, T. Korakis, Z. Tao and L. Tassiulas Computer & Communiations Engineering Dept. University of Thessaly Centre

More information

Alleviating DFT cost using testability driven HLS

Alleviating DFT cost using testability driven HLS Alleviating DFT ost using testability driven HLS M.L.Flottes, R.Pires, B.Rouzeyre Laboratoire d Informatique, de Robotique et de Miroéletronique de Montpellier, U.M. CNRS 5506 6 rue Ada, 34392 Montpellier

More information

Make your process world

Make your process world Automation platforms Modion Quantum Safety System Make your proess world a safer plae You are faing omplex hallenges... Safety is at the heart of your proess In order to maintain and inrease your ompetitiveness,

More information

Formal Verification by Model Checking

Formal Verification by Model Checking Formal Verifiation by Model Cheking Jonathan Aldrih Carnegie Mellon University Based on slides developed by Natasha Sharygina 15-413: Introdution to Software Engineering Fall 2005 3 Formal Verifiation

More information

Unsupervised Stereoscopic Video Object Segmentation Based on Active Contours and Retrainable Neural Networks

Unsupervised Stereoscopic Video Object Segmentation Based on Active Contours and Retrainable Neural Networks Unsupervised Stereosopi Video Objet Segmentation Based on Ative Contours and Retrainable Neural Networks KLIMIS NTALIANIS, ANASTASIOS DOULAMIS, and NIKOLAOS DOULAMIS National Tehnial University of Athens

More information

Gray Codes for Reflectable Languages

Gray Codes for Reflectable Languages Gray Codes for Refletable Languages Yue Li Joe Sawada Marh 8, 2008 Abstrat We lassify a type of language alled a refletable language. We then develop a generi algorithm that an be used to list all strings

More information

SpecC Methodology for High-Level Modeling

SpecC Methodology for High-Level Modeling EDP 2002 9 th IEEE/DATC Electronic Design Processes Workshop SpecC Methodology for High-Level Modeling Rainer Dömer Daniel D. Gajski Andreas Gerstlauer Center for Embedded Computer Systems Universitiy

More information