ECE 5745 Complex Digital ASIC Design Topic 7: Packaging, Power Distribution, Clocking, and I/O

Size: px
Start display at page:

Download "ECE 5745 Complex Digital ASIC Design Topic 7: Packaging, Power Distribution, Clocking, and I/O"

Transcription

1 ECE 5745 Complex Digital ASIC Design Topic 7: Packaging, Power Distribution, Clocking, and I/O Christopher Batten School of Electrical and Computer Engineering Cornell University

2 Packaging Power Distribution Clocking I/O Part 1: ASIC Design Overview P M P M Topic 1 Hardware Description Languages Topic 4 Full-Custom Design Methodology Topic 6 Closing the Gap Topic 5 Automated Design Methodologies Topic 8 Testing and Verification Topic 3 CMOS Circuits Topic 2 CMOS Devices Topic 7 Clocking, Power Distribution, Packaging, and I/O ECE 5745 T07: Packaging, Power Distribution, Clocking, and I/O 2 / 39

3 Packaging Power Distribution Clocking I/O Agenda Packaging Power Distribution Clocking I/O ECE 5745 T07: Packaging, Power Distribution, Clocking, and I/O 3 / 39

4 Packaging Power Distribution Clocking I/O Basic Approaches to Packaging Ball Grid Array (BGA) Adapted from [Terman 02] ECE 5745 T07: Packaging, Power Distribution, Clocking, and I/O 4 / 39

5 Packaging Power Distribution Clocking I/O Basic Package Types What makes a good package? Low cost Small size Good thermal performance Large number of pins Low pin parasitics Easy to test Highly reliable Adapted from [Weste 11] ECE 5745 T07: Packaging, Power Distribution, Clocking, and I/O 5 / 39

6 Packaging Power Distribution Clocking I/O Basic Package Types DIP 8 64 Two rows of through-hole pins. 100mil pitch. Low cost. Long wires between chip and corner pins. PGA Array of through-hole pins. 100mil pitch. Low thermal resistance and higher pin counts. SOIC 8 28 Two rows of SMT pins. 50mil pitch. Low cost. TSOP Two rows of SMT pin mil pitch in thin package. Used in DRAMs. QFP SMT pins on 4 sides mil pitch. High density. BGA Array of SMT solder balls on underside of package on 15 50mil pitch. Very high density with low parasitics. Costly assembly. LGA Many Similar to BGA but with gold pads instead of solder balls. Commonly used with sockets (processors). Adapted from [Weste 11] ECE 5745 T07: Packaging, Power Distribution, Clocking, and I/O 6 / 39

7 Packaging Power Distribution Clocking I/O Wire-Bond Pad Ring Adapted from [Weste 11] ECE 5745 T07: Packaging, Power Distribution, Clocking, and I/O 7 / 39

8 6.371 Fall /1/02 L17 Packaging 6 Packaging Power Distribution Clocking I/O Wire Bonding Process Bond Wires Wire bonding machine Usually ultrasonic welding connects wire to package and die pad Bond wires can be aluminum or gold Different thicknesses of bond wire tradeoff parasitic inductance and resistance versus density Can wirebond to die pad pitches of around 100 m Adapted from [Terman 02] ECE 5745 T07: Packaging, Power Distribution, Clocking, and I/O 8 / 39

9 Packaging Power Distribution Clocking I/O Pin-Grid Array Assembly Process Pin-Grid Array Assembly Process Saw Die Attach (glue to package) Oven Cure Die Attach Tested Wafer Die Wire bond pads on die to pads on package Final Test Screen Printing Oven Cure Lid Attach Fall /1/02 Glue lid on package Adapted from [Terman 02] L17 Packaging 5 ECE 5745 T07: Packaging, Power Distribution, Clocking, and I/O 9 / 39

10 Packaging Power Distribution Clocking I/O Summary of Package Parasitics Adapted from [Weste 11] ECE 5745 T07: Packaging, Power Distribution, Clocking, and I/O 10 / 39

11 Packaging Power Distribution Clocking I/O Pad on die Pin Parasitics Pin Parasitics Bond wire Package trace Package pin R bond L bond R trace L trace R pin L pin C pad C bond C trace C pin Wire bond, C bond =1pF, L bond =1nH bond wire L approx. 1nH/mm Solder bump, C bond =0.5pF, L bond =0.1nH 68-pin DIP, C pin =4pF, L pin =35nH 256-pin PGA, C pin =3-5pF, L pin =5-15nH BGA, C pin =2-4pF, L pin =1-8nH Fall /1/02 Adapted from [Terman 02] L17 Packaging 8 ECE 5745 T07: Packaging, Power Distribution, Clocking, and I/O 11 / 39

12 Packaging Power Distribution Clocking I/O Challenge: Power Delivery Scaling Power = Volts Amps CPU power consumption is increasing 2x per technology generation Supply voltages are dropping have to control electric field strength as transistors shrink keep power from growing even faster Power is going up, voltage is going down = current rising fast 100W at 1V implies 100A of current ECE 5745 T07: Packaging, Power Distribution, Clocking, and I/O 12 / 39

13 Packaging Power Distribution Clocking I/O Challenge: Static IR Droop IR Drop V DD I supply V DD -(I supply *R supply ) R supply Chip Want to keep voltage droop (V = IR) small Example, for 100W@1V, I=100A 5% droop is 50mV At 100A, need effective supply resistance < Dissipate 5W heat just in power supply leads Use multiple parallel Vdd/GND pins Need very short fat wires to board power regulator Want very low resistance on-chip power network Adapted from [Terman 02] Fall /1/02 L17 Packaging 11 ECE 5745 T07: Packaging, Power Distribution, Clocking, and I/O 13 / 39

14 Packaging Power Distribution Clocking I/O Challenge: Dynamic di/dt Droop Simultaneous Output Switching Noise V B A s switch V DD L package L package I A B 64 L package t A large number of output drivers A switching high try to pull current through the power supply inductance, causing the internal power rail connected to gate B to droop (V=LdI/dt) Gates driven by B may switch incorrectly. Adapted from [Terman 02] ECE Fall 2002 T07: Packaging, Power Distribution, 11/1/02 Clocking, and I/O L17 Packaging 14 / 399

15 Packaging Power Distribution Clocking I/O Challenge: Heat Dissipation One Dimensional Thermal Model Heatsink Die Airflow Thermal Slug (Tungsten) Die Attach Glue (silver filled) Sample overall ja: DIP 38 C/W still air DIP 25 C/W forced air PGA 5-10 C/W forced air Microproc.and fan <3 C/W (fluid pumped through die microchannels 0.02 C/W) Power Tjunction glue Tglue Tslug sink Tsink air Tambient C die C glue C slug C sink Adapted from [Terman 02] Fall 2002 ECE /1/02 T07: Packaging, Power Distribution, Clocking, and I/O L17 Packaging / 39

16 Packaging Power Distribution Clocking I/O Agenda Packaging Power Distribution Clocking I/O ECE 5745 T07: Packaging, Power Distribution, Clocking, and I/O 16 / 39

17 Power Distribution: The Issue Possible IR drop across power network Packaging Power Distribution Clocking I/O Power Distribution Network Parasitics VDD VDD R eff R eff C g Reff C d C g Reff C d GND GND Spring 2006 L06 Managing Physical Design Issues in ASIC Toolflows 39 ECE 5745 T07: Packaging, Power Distribution, Clocking, and I/O 17 / 39

18 Power Distribution: The Issue IR drop can be static or dynamic Packaging Power Distribution Clocking I/O Static and Dynamic IR Drop Static IR Drop Dynamic IR Drop Are these parasitic capacitances bad? VDD VDD R eff R eff C g Reff C d C g Reff C d GND GND Spring 2006 L06 Managing Physical Design Issues in ASIC Toolflows 40 ECE 5745 T07: Packaging, Power Distribution, Clocking, and I/O 18 / 39

19 Packaging Power Distribution Clocking I/O Realistic Power Distribution Networks Adapted from [Weste 11] ECE 5745 T07: Packaging, Power Distribution, Clocking, and I/O 19 / 39

20 Power Distribution: Custom Approach Carefully Varioustailor Approaches power tonetwork Power Distribution Packaging Power Distribution Clocking I/O G V G V B A Routed power distribution on two stacked layers of metal (one for VDD, one for GND). OK for lowcost, low-power designs with few layers of metal. V G V G V G V G V G V G Power Grid. Interconnected vertical and horizontal power bars. Common on most high-performance designs. Often well over half of total metal on upper thicker layers used for VDD/GND. V G V G V G V V G V G V G V Dedicated VDD/GND planes. Very expensive. Only used on Alpha Simplified circuit analysis. Dropped on subsequent Alphas. G G V G V G Spring 2006 L06 Managing Physical Design Issues in ASIC Toolflows 41 ECE 5745 T07: Packaging, Power Distribution, Clocking, and I/O 20 / 39

21 Packaging Power Distribution Clocking I/O Power Distribution for Standard Cells Adapted from [Weste 11] ECE 5745 T07: Packaging, Power Distribution, Clocking, and I/O 21 / 39

22 Power Distribution: ASIC Approach Packaging Power Distribution Clocking I/O Modular Power Distribution Networks Power rings partition the power problem Early physical partitioning and prototyping is essential Can use special filler cells to help add decoupling cap Spring 2006 L06 Managing Physical Design Issues in ASIC Toolflows 43 ECE 5745 T07: Packaging, Power Distribution, Clocking, and I/O 22 / 39

23 Fine-grain power distribution over entire chip reduces IR d Example of power distribution netw Packaging Power Distribution Clocking I/O Scale Power Distribution Network using commercial ASIC back-end to ade au sma Works ECE 5745 T07: Packaging, Power Distribution, Clocking, and I/O 23 / 39

24 Packaging Power Distribution Clocking I/O Agenda Packaging Power Distribution Clocking I/O ECE 5745 T07: Packaging, Power Distribution, Clocking, and I/O 24 / 39

25 Clock Distribution: The Issue Packaging Power Distribution Clocking I/O Goal of Clock Distribution Clock propagates across entire chip Clock Cannot really distribute clock instantaneously with a perfectly regular period Spring 2006 L06 Managing Physical Design Issues in ASIC Toolflows 28 ECE 5745 T07: Packaging, Power Distribution, Clocking, and I/O 25 / 39

26 Clock Distribution: The Issue Packaging Power Distribution Clocking I/O Clock Skew Two forms of variability Clock Skew Difference in clock arrival time at two spatially distinct points B A A B Skew Usable Clock Period Spring 2006 L06 Managing Physical Design Issues in ASIC Toolflows 29 ECE 5745 T07: Packaging, Power Distribution, Clocking, and I/O 26 / 39

27 Clock Distribution: The Issue Packaging Power Distribution Clocking I/O Clock Jitter Two forms of variability Period A!= Period B Clock Jitter Difference in clock period over time Spring 2006 L06 Managing Physical Design Issues in ASIC Toolflows 30 ECE 5745 T07: Packaging, Power Distribution, Clocking, and I/O 27 / 39

28 Clock Distribution: The Issue Packaging Power Distribution Clocking I/O Why is minimizing skew and jitter hard? Sources of Clock Skew and Jitter Clock Distribution Network Variations in trace length, metal width and height, coupling caps Central Clock Driver Variations in local clock load, local power supply, local gate length and threshold, local temperature Local Clock Buffers ECE 5745 T07: Packaging, Power Spring Distribution, 2006 L06 Clocking, Managing andphysical I/O Design Issues in ASIC Toolflows 28 / 31 39

29 Clock Distribution: Custom Approach Packaging Power Distribution Clocking I/O Clock grids lower skew but high power Clock Grids: Low Skew but High Power Grid feeds flops directly, no local buffers Clock driver tree spans height of chip Internal levels shorted together Spring 2006 L06 Managing Physical Design Issues in ASIC Toolflows 32 ECE 5745 T07: Packaging, Power Distribution, Clocking, and I/O 29 / 39

30 Clock Distribution: Custom Approach Packaging Power Distribution Clocking I/O Trees have more skew but less power Clock Trees: More skew but Less Power H-Tree RC-Tree Recursive pattern to distribute signals uniformly with equal delay over area Each branch is individually routed to balance RC delay ECE 5745 T07: Packaging, Power Distribution, Clocking, and I/O 30 / Spring 2006 L06 Managing Physical Design Issues in ASIC Toolflows 33

31 Packaging Power Distribution Clocking I/O ample of clock tree synthesis Example using of clock tree synthesis usin mmercial ASIC back-end Clocktools commercial Tree Synthesis ASIC back-end tools CAD tools generate balanced RC trees Spring 2006 L06 Managing Physical Design Issues in ASIC Toolflows 37 Static analysis to measure clock skew and factor it into static timing analysis Spring 2006 L06 Managing Physical Design Issues in ASIC ECE 5745 T07: Packaging, Power Distribution, Clocking, and I/O 31 / 39

32 Packaging Power Distribution Clocking I/O Example Skew/Jitter Analysis Adapted from [Xiu 08] ECE 5745 T07: Packaging, Power Distribution, Clocking, and I/O 32 / 39

33 Packaging Power Distribution Clocking I/O Example Skew/Jitter Analysis Adapted from [Xiu 08] ECE 5745 T07: Packaging, Power Distribution, Clocking, and I/O 33 / 39

34 Clock Distribution: Custom Approach Packaging Power Distribution Clocking I/O Active Deskewing Circuits in Intel Itanium Active deskewing circuits in Intel Itanium Active Deskew Circuits (cancels out systematic skew) Phase Locked Loop (PLL) Regional Grid Spring 2006 L06 Managing Physical Design Issues in ASIC Toolflows 34 ECE 5745 T07: Packaging, Power Distribution, Clocking, and I/O 34 / 39

35 Packaging Power Distribution Clocking I/O Agenda Packaging Power Distribution Clocking I/O ECE 5745 T07: Packaging, Power Distribution, Clocking, and I/O 35 / 39

36 Packaging Power Distribution Clocking I/O Single-Ended I/O Standards Adapted from [ ECE 5745 T07: Packaging, Power Distribution, Clocking, and I/O 36 / 39

37 Packaging Power Distribution Clocking I/O I/O Pads Adapted from [Weste 11] ECE 5745 T07: Packaging, Power Distribution, Clocking, and I/O 37 / 39

38 Packaging Power Distribution Clocking I/O High-Speed Serial I/Os Pins are an expensive part of a system Physical cost of adding pin to package Size of package increases with more pins and on-pkg routing to pin Bonding cost per pin Size of motherboard depends on package size More pins complicates board-level routing Board testing time grows with number of pins Reliability is function of number of solder connections Trend towards high-speed serial I/O As computing performance grows, pins become system bottleneck Want maximum bandwidth from available pins Current SerDes run at 3 6 Gb/s per link at <200 mw ECE 5745 T07: Packaging, Power Distribution, Clocking, and I/O 38 / 39

39 Packaging Power Distribution Clocking I/O Acknowledgments [ Chart of Low Voltage IC Switching. [Terman 02] C. Terman and K. Asanović, MIT Introduction to VLSI Systems, Lecture Slides, [Weste 11] N. Weste and D. Harris, CMOS VLSI Design: A Circuits and Systems Perspective, 4th ed, Addison Wesley, [Xiu 08] L. Xiu, VLSI Circuit Design Methodologies, Wiley-IEEE Press, ECE 5745 T07: Packaging, Power Distribution, Clocking, and I/O 39 / 39

Lecture 20: Package, Power, and I/O

Lecture 20: Package, Power, and I/O Introduction to CMOS VLSI Design Lecture 20: Package, Power, and I/O David Harris Harvey Mudd College Spring 2004 1 Outline Packaging Power Distribution I/O Synchronization Slide 2 2 Packages Package functions

More information

Physical Implementation

Physical Implementation CS250 VLSI Systems Design Fall 2009 John Wawrzynek, Krste Asanovic, with John Lazzaro Physical Implementation Outline Standard cell back-end place and route tools make layout mostly automatic. However,

More information

Additional Slides for Lecture 17. EE 271 Lecture 17

Additional Slides for Lecture 17. EE 271 Lecture 17 Additional Slides for Lecture 17 Advantages/Disadvantages of Wire Bonding Pros Cost: cheapest packages use wire bonding Allows ready access to front side of die for probing Cons Relatively high inductance

More information

10. Interconnects in CMOS Technology

10. Interconnects in CMOS Technology 10. Interconnects in CMOS Technology 1 10. Interconnects in CMOS Technology Jacob Abraham Department of Electrical and Computer Engineering The University of Texas at Austin VLSI Design Fall 2017 October

More information

Calibrating Achievable Design GSRC Annual Review June 9, 2002

Calibrating Achievable Design GSRC Annual Review June 9, 2002 Calibrating Achievable Design GSRC Annual Review June 9, 2002 Wayne Dai, Andrew Kahng, Tsu-Jae King, Wojciech Maly,, Igor Markov, Herman Schmit, Dennis Sylvester DUSD(Labs) Calibrating Achievable Design

More information

Problem Formulation. Specialized algorithms are required for clock (and power nets) due to strict specifications for routing such nets.

Problem Formulation. Specialized algorithms are required for clock (and power nets) due to strict specifications for routing such nets. Clock Routing Problem Formulation Specialized algorithms are required for clock (and power nets) due to strict specifications for routing such nets. Better to develop specialized routers for these nets.

More information

Chapter 1 Introduction of Electronic Packaging

Chapter 1 Introduction of Electronic Packaging Chapter 1 Introduction of Electronic Packaging 1 Introduction of Electronic Packaging 2 Why Need Package? IC Foundry Packaging house Module Sub-system Product 3 Concept of Electric Packaging 4 Moore s

More information

Package (1C) Young Won Lim 3/20/13

Package (1C) Young Won Lim 3/20/13 Copyright (c) 2011-2013 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later version published

More information

2005 IBM Power and Cooling Technology Symposium. Advancements in Power Interconnect. Presenter: Don Wood Date: September 21, 2005

2005 IBM Power and Cooling Technology Symposium. Advancements in Power Interconnect. Presenter: Don Wood Date: September 21, 2005 2005 IBM Power and Cooling Technology Symposium Advancements in Power Interconnect Presenter: Don Wood Date: September 21, 2005 Overview This presentation examines the following power interconnect trends

More information

Cluster-based approach eases clock tree synthesis

Cluster-based approach eases clock tree synthesis Page 1 of 5 EE Times: Design News Cluster-based approach eases clock tree synthesis Udhaya Kumar (11/14/2005 9:00 AM EST) URL: http://www.eetimes.com/showarticle.jhtml?articleid=173601961 Clock network

More information

Package (1C) Young Won Lim 3/13/13

Package (1C) Young Won Lim 3/13/13 Copyright (c) 2011-2013 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later version published

More information

Wafer Level Packaging The Promise Evolves Dr. Thomas Di Stefano Centipede Systems, Inc. IWLPC 2008

Wafer Level Packaging The Promise Evolves Dr. Thomas Di Stefano Centipede Systems, Inc. IWLPC 2008 Wafer Level Packaging The Promise Evolves Dr. Thomas Di Stefano Centipede Systems, Inc. IWLPC 2008 / DEVICE 1.E+03 1.E+02 1.E+01 1.E+00 1.E-01 1.E-02 1.E-03 1.E-04 1.E-05 1.E-06 1.E-07 Productivity Gains

More information

Over 5,000 products High Performance Adapters and Sockets Many Custom Designs Engineering Electrical and Mechanical ISO9001:2008 Registration

Over 5,000 products High Performance Adapters and Sockets Many Custom Designs Engineering Electrical and Mechanical ISO9001:2008 Registration Overview Company Overview Over 5,000 products High Performance Adapters and Sockets Many Custom Designs Engineering Electrical and Mechanical ISO9001:2008 Registration Adapter Technology Overview Pluggable

More information

Digital Integrated Circuits (83-313) Lecture 2: Technology and Standard Cell Layout

Digital Integrated Circuits (83-313) Lecture 2: Technology and Standard Cell Layout Digital Integrated Circuits (83-313) Lecture 2: Technology and Standard Cell Layout Semester B, 2016-17 Lecturer: Dr. Adam Teman TAs: Itamar Levi, Robert Giterman 26 March 2017 Disclaimer: This course

More information

Technical Note. Design Considerations when using NOR Flash on PCBs. Introduction and Definitions

Technical Note. Design Considerations when using NOR Flash on PCBs. Introduction and Definitions Technical Note Design Considerations when using NOR Flash on PCBs Introduction and Definitions TN-13-30: NOR Flash Memory: PCB Design Considerations Introduction and Definitions Table 1: Definitions Term

More information

Achieving GHz Speed in Socketed BGA Devices

Achieving GHz Speed in Socketed BGA Devices IC INTERCONNECT TOPIC #102 Technical Information from Ironwood Electronics Achieving GHz Speed in Socketed BGA Devices Ila Pal Director of R&D Ironwood Electronics Background For many products designed

More information

EECS 598: Integrating Emerging Technologies with Computer Architecture. Lecture 10: Three-Dimensional (3D) Integration

EECS 598: Integrating Emerging Technologies with Computer Architecture. Lecture 10: Three-Dimensional (3D) Integration 1 EECS 598: Integrating Emerging Technologies with Computer Architecture Lecture 10: Three-Dimensional (3D) Integration Instructor: Ron Dreslinski Winter 2016 University of Michigan 1 1 1 Announcements

More information

DesignConEast 2005 Track 6: Board and System-Level Design (6-TA4)

DesignConEast 2005 Track 6: Board and System-Level Design (6-TA4) DesignConEast 2005 Track 6: Board and System-Level Design (6-TA4) Performance Model for Inter-chip Busses Considering Bandwidth and Cost Authors: Brock J. LaMeres, University of Colorado / Sunil P. Khatri

More information

FPGA. Logic Block. Plessey FPGA: basic building block here is 2-input NAND gate which is connected to each other to implement desired function.

FPGA. Logic Block. Plessey FPGA: basic building block here is 2-input NAND gate which is connected to each other to implement desired function. FPGA Logic block of an FPGA can be configured in such a way that it can provide functionality as simple as that of transistor or as complex as that of a microprocessor. It can used to implement different

More information

Adapter Technologies

Adapter Technologies Adapter Technologies Toll Free: (800) 404-0204 U.S. Only Tel: (952) 229-8200 Fax: (952) 229-8201 email: info@ironwoodelectronics.com Introduction Company Overview Over 5,000 products High Performance Adapters

More information

Session 2. Burn-in & Test Socket Workshop Socket Design

Session 2. Burn-in & Test Socket Workshop Socket Design Session 2 Burn-in & Test Socket Workshop 2000 Socket Design BURN-IN & TEST SOCKET WORKSHOP COPYRIGHT NOTICE The papers in this publication comprise the proceedings of the 2000 BiTS Workshop. They reflect

More information

CHAPTER 1 INTRODUCTION

CHAPTER 1 INTRODUCTION CHAPTER 1 INTRODUCTION Rapid advances in integrated circuit technology have made it possible to fabricate digital circuits with large number of devices on a single chip. The advantages of integrated circuits

More information

Congestion-Aware Power Grid. and CMOS Decoupling Capacitors. Pingqiang Zhou Karthikk Sridharan Sachin S. Sapatnekar

Congestion-Aware Power Grid. and CMOS Decoupling Capacitors. Pingqiang Zhou Karthikk Sridharan Sachin S. Sapatnekar Congestion-Aware Power Grid Optimization for 3D circuits Using MIM and CMOS Decoupling Capacitors Pingqiang Zhou Karthikk Sridharan Sachin S. Sapatnekar University of Minnesota 1 Outline Motivation A new

More information

ICS548A-03 LOW SKEW CLOCK INVERTER AND DIVIDER. Description. Features. Block Diagram DATASHEET

ICS548A-03 LOW SKEW CLOCK INVERTER AND DIVIDER. Description. Features. Block Diagram DATASHEET DATASHEET ICS548A-03 Description The ICS548A-03 is a low cost, low skew, high-performance general purpose clock designed to produce a set of one output clock, one inverted output clock, and one clock divided-by-two.

More information

A Practical Approach to Preventing Simultaneous Switching Noise and Ground Bounce Problems in IO Rings

A Practical Approach to Preventing Simultaneous Switching Noise and Ground Bounce Problems in IO Rings A Practical Approach to Preventing Simultaneous Switching Noise and Ground Bounce Problems in IO Rings Dr. Osman Ersed Akcasu, Jerry Tallinger, Kerem Akcasu OEA International, Inc. 155 East Main Avenue,

More information

Socket Technologies

Socket Technologies Socket Technologies Introduction Company Overview Over 5,000 products High Performance Adapters and Sockets Many Custom Designs Engineering Electrical and Mechanical ISO9001:2008 Registration Socket Technology

More information

Products, Services & Capabilities

Products, Services & Capabilities Products, Services & Capabilities Toll Free: (800) 404-0204 U.S. Only Tel: (952) 229-8200 Fax: (952) 229-8201 email: info@ironwoodelectronics.com Overview Company Overview Founded 1986 Over 5,000 products

More information

Session 4a. Burn-in & Test Socket Workshop Burn-in Board Design

Session 4a. Burn-in & Test Socket Workshop Burn-in Board Design Session 4a Burn-in & Test Socket Workshop 2000 Burn-in Board Design BURN-IN & TEST SOCKET WORKSHOP COPYRIGHT NOTICE The papers in this publication comprise the proceedings of the 2000 BiTS Workshop. They

More information

ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS)

ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS) ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS) Objective Part A: To become acquainted with Spectre (or HSpice) by simulating an inverter,

More information

Lecture 14: Prototyping and Schematics

Lecture 14: Prototyping and Schematics Lecture 14: Prototyping and Schematics Breadboards have some limitations They have high parasitic inductance and capacitance, limiting high frequency signal transfer to about 50MHz. Wire connections

More information

Digital Design Methodology (Revisited) Design Methodology: Big Picture

Digital Design Methodology (Revisited) Design Methodology: Big Picture Digital Design Methodology (Revisited) Design Methodology Design Specification Verification Synthesis Technology Options Full Custom VLSI Standard Cell ASIC FPGA CS 150 Fall 2005 - Lec #25 Design Methodology

More information

Interconnect Challenges in a Many Core Compute Environment. Jerry Bautista, PhD Gen Mgr, New Business Initiatives Intel, Tech and Manuf Grp

Interconnect Challenges in a Many Core Compute Environment. Jerry Bautista, PhD Gen Mgr, New Business Initiatives Intel, Tech and Manuf Grp Interconnect Challenges in a Many Core Compute Environment Jerry Bautista, PhD Gen Mgr, New Business Initiatives Intel, Tech and Manuf Grp Agenda Microprocessor general trends Implications Tradeoffs Summary

More information

Digital Design Methodology

Digital Design Methodology Digital Design Methodology Prof. Soo-Ik Chae Digital System Designs and Practices Using Verilog HDL and FPGAs @ 2008, John Wiley 1-1 Digital Design Methodology (Added) Design Methodology Design Specification

More information

More Course Information

More Course Information More Course Information Labs and lectures are both important Labs: cover more on hands-on design/tool/flow issues Lectures: important in terms of basic concepts and fundamentals Do well in labs Do well

More information

Columbia Univerity Department of Electrical Engineering Fall, 2004

Columbia Univerity Department of Electrical Engineering Fall, 2004 Columbia Univerity Department of Electrical Engineering Fall, 2004 Course: EE E4321. VLSI Circuits. Instructor: Ken Shepard E-mail: shepard@ee.columbia.edu Office: 1019 CEPSR Office hours: MW 4:00-5:00

More information

2:1 MULTIPLEXER CHIP FOR PCI-EXPRESS ICS Features

2:1 MULTIPLEXER CHIP FOR PCI-EXPRESS ICS Features DATASHEET 2:1 MULTIPLEXER CHIP FOR PCI-EXPRESS ICS557-08 Description The ICS557-08 is a 2:1 multiplexer chip that allows the user to select one of the two HCSL (Host Clock Signal Level) input pairs and

More information

2:1 MULTIPLEXER CHIP FOR PCI-EXPRESS ICS Description. Features. Block Diagram DATASHEET

2:1 MULTIPLEXER CHIP FOR PCI-EXPRESS ICS Description. Features. Block Diagram DATASHEET DATASHEET 2:1 MULTIPLEXER CHIP FOR PCI-EXPRESS ICS557-08 Description The ICS557-08 is a 2:1 multiplexer chip that allows the user to select one of the two HCSL (Host Clock Signal Level) or LVDS input pairs

More information

Material technology enhances the density and the productivity of the package

Material technology enhances the density and the productivity of the package Material technology enhances the density and the productivity of the package May 31, 2018 Toshihisa Nonaka, Ph D. Packaging Solution Center Advanced Performance Materials Business Headquarter Hitachi Chemical

More information

Thermal Considerations in Package Stacking and Advanced Module Technology

Thermal Considerations in Package Stacking and Advanced Module Technology Thermal Considerations in Package Stacking and Advanced Module Technology Ulrich Hansen, Director of Marketing, Staktek February 16, 2006 Continued drive to increase sub-system density, functionality and

More information

PowerPlay Early Power Estimator User Guide for Cyclone III FPGAs

PowerPlay Early Power Estimator User Guide for Cyclone III FPGAs PowerPlay Early Power Estimator User Guide for Cyclone III FPGAs 101 Innovation Drive San Jose, CA 95134 www.altera.com Software Version: QII v9.0 SP2 Document Version: 2.0 Document Date: June 2009 UG-01013-2.0

More information

2 TO 4 DIFFERENTIAL CLOCK MUX ICS Features

2 TO 4 DIFFERENTIAL CLOCK MUX ICS Features DATASHEET 2 TO 4 DIFFERENTIAL CLOCK MUX ICS557-06 Description The ICS557-06 is a two to four differential clock mux designed for use in PCI-Express applications. The device selects one of the two differential

More information

EE586 VLSI Design. Partha Pande School of EECS Washington State University

EE586 VLSI Design. Partha Pande School of EECS Washington State University EE586 VLSI Design Partha Pande School of EECS Washington State University pande@eecs.wsu.edu Lecture 1 (Introduction) Why is designing digital ICs different today than it was before? Will it change in

More information

Module 7 Electronics Systems Packaging

Module 7 Electronics Systems Packaging Module 7 Electronics Systems Packaging Component Assembly, materials for assembly and joining methods in electronics -Surface Mount technology- design, fabrication and assembly; -failures library; -materials

More information

Socket Technologies

Socket Technologies Socket Technologies Toll Free: (800) 404-0204 U.S. Only Tel: (952) 229-8200 Fax: (952) 229-8201 email: info@ironwoodelectronics.com Introduction Company Overview Over 5,000 products High Performance Adapters

More information

Actel s SX Family of FPGAs: A New Architecture for High-Performance Designs

Actel s SX Family of FPGAs: A New Architecture for High-Performance Designs Actel s SX Family of FPGAs: A New Architecture for High-Performance Designs A Technology Backgrounder Actel Corporation 955 East Arques Avenue Sunnyvale, California 94086 April 20, 1998 Page 2 Actel Corporation

More information

3D & Advanced Packaging

3D & Advanced Packaging Tuesday, October 03, 2017 Company Overview March 12, 2015 3D & ADVANCED PACKAGING IS NOW WITHIN REACH WHAT IS NEXT LEVEL INTEGRATION? Next Level Integration blends high density packaging with advanced

More information

The Design of the KiloCore Chip

The Design of the KiloCore Chip The Design of the KiloCore Chip Aaron Stillmaker*, Brent Bohnenstiehl, Bevan Baas DAC 2017: Design Challenges of New Processor Architectures University of California, Davis VLSI Computation Laboratory

More information

ASIC Physical Design Top-Level Chip Layout

ASIC Physical Design Top-Level Chip Layout ASIC Physical Design Top-Level Chip Layout References: M. Smith, Application Specific Integrated Circuits, Chap. 16 Cadence Virtuoso User Manual Top-level IC design process Typically done before individual

More information

Reduce Your System Power Consumption with Altera FPGAs Altera Corporation Public

Reduce Your System Power Consumption with Altera FPGAs Altera Corporation Public Reduce Your System Power Consumption with Altera FPGAs Agenda Benefits of lower power in systems Stratix III power technology Cyclone III power Quartus II power optimization and estimation tools Summary

More information

Lecture Content. 1 Adam Teman, 2018

Lecture Content. 1 Adam Teman, 2018 Lecture Content 1 Adam Teman, 2018 Digital VLSI Design Lecture 6: Moving to the Physical Domain Semester A, 2018-19 Lecturer: Dr. Adam Teman December 24, 2018 Disclaimer: This course was prepared, in its

More information

Burn-in & Test Socket Workshop

Burn-in & Test Socket Workshop Burn-in & Test Socket Workshop IEEE March 4-7, 2001 Hilton Mesa Pavilion Hotel Mesa, Arizona IEEE COMPUTER SOCIETY Sponsored By The IEEE Computer Society Test Technology Technical Council COPYRIGHT NOTICE

More information

FPGA Power Management and Modeling Techniques

FPGA Power Management and Modeling Techniques FPGA Power Management and Modeling Techniques WP-01044-2.0 White Paper This white paper discusses the major challenges associated with accurately predicting power consumption in FPGAs, namely, obtaining

More information

TABLE OF CONTENTS 1.0 PURPOSE INTRODUCTION ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2

TABLE OF CONTENTS 1.0 PURPOSE INTRODUCTION ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2 TABLE OF CONTENTS 1.0 PURPOSE... 1 2.0 INTRODUCTION... 1 3.0 ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2 3.1 PRODUCT DEFINITION PHASE... 3 3.2 CHIP ARCHITECTURE PHASE... 4 3.3 MODULE AND FULL IC DESIGN PHASE...

More information

Scope adapters and probes. Surface mount QFP Logic Analyzer adapters

Scope adapters and probes. Surface mount QFP Logic Analyzer adapters Logic Analyzer Adapters Logic Analyzer and scope adapters are designed to give access to each signal pin on a high-density IC. Some variations are specifically adapted to connect to a specific logic analyzer

More information

Introduction Overview Of Intel Packaging Technology

Introduction Overview Of Intel Packaging Technology 1 1.1 Overview Of Intel Packaging Technology As semiconductor devices become significantly more comple, electronics designers are challenged to fully harness their computing power. Transistor count in

More information

3. Implementing Logic in CMOS

3. Implementing Logic in CMOS 3. Implementing Logic in CMOS 3. Implementing Logic in CMOS Jacob Abraham Department of Electrical and Computer Engineering The University of Texas at Austin VLSI Design Fall 27 September, 27 ECE Department,

More information

edram to the Rescue Why edram 1/3 Area 1/5 Power SER 2-3 Fit/Mbit vs 2k-5k for SRAM Smaller is faster What s Next?

edram to the Rescue Why edram 1/3 Area 1/5 Power SER 2-3 Fit/Mbit vs 2k-5k for SRAM Smaller is faster What s Next? edram to the Rescue Why edram 1/3 Area 1/5 Power SER 2-3 Fit/Mbit vs 2k-5k for SRAM Smaller is faster What s Next? 1 Integrating DRAM and Logic Integrate with Logic without impacting logic Performance,

More information

ECE 486/586. Computer Architecture. Lecture # 2

ECE 486/586. Computer Architecture. Lecture # 2 ECE 486/586 Computer Architecture Lecture # 2 Spring 2015 Portland State University Recap of Last Lecture Old view of computer architecture: Instruction Set Architecture (ISA) design Real computer architecture:

More information

Recent Advancements in Bus-Interface Packaging and Processing

Recent Advancements in Bus-Interface Packaging and Processing Recent Advancements in Bus-Interface Packaging and Processing SCZA001A February 1997 1 IMPORTANT NOTICE Texas Instruments (TI) reserves the right to make changes to its products or to discontinue any semiconductor

More information

Digital IO PAD Overview and Calibration Scheme

Digital IO PAD Overview and Calibration Scheme Digital IO PAD Overview and Calibration Scheme HyunJin Kim School of Electronics and Electrical Engineering Dankook University Contents 1. Introduction 2. IO Structure 3. ZQ Calibration Scheme 4. Conclusion

More information

Pogo Pin / Spring Probe. Custom Configurations. Test & Burn-in (ZIF) SOCKET SOLUTIONS. Up to 40 GHz. Prototyping. Production.

Pogo Pin / Spring Probe. Custom Configurations. Test & Burn-in (ZIF) SOCKET SOLUTIONS. Up to 40 GHz. Prototyping. Production. , PROTOTYPING How to Choose The Right Socket Finding the right socket for your project is easy. Gathering the following key characteristics will assist you in finding the correct ET part number: 1. Pin

More information

3.3V ZERO DELAY CLOCK BUFFER

3.3V ZERO DELAY CLOCK BUFFER 3.3V ZERO DELAY CLOCK BUFFER IDT2309A FEATURES: Phase-Lock Loop Clock Distribution 10MHz to 133MHz operating frequency Distributes one clock input to one bank of five and one bank of four outputs Separate

More information

Chapter 5: ASICs Vs. PLDs

Chapter 5: ASICs Vs. PLDs Chapter 5: ASICs Vs. PLDs 5.1 Introduction A general definition of the term Application Specific Integrated Circuit (ASIC) is virtually every type of chip that is designed to perform a dedicated task.

More information

ECE 5745 Complex Digital ASIC Design Topic 13: Physical Design Automation Algorithms

ECE 5745 Complex Digital ASIC Design Topic 13: Physical Design Automation Algorithms ECE 7 Complex Digital ASIC Design Topic : Physical Design Automation Algorithms Christopher atten School of Electrical and Computer Engineering Cornell University http://www.csl.cornell.edu/courses/ece7

More information

THERMAL EXPLORATION AND SIGN-OFF ANALYSIS FOR ADVANCED 3D INTEGRATION

THERMAL EXPLORATION AND SIGN-OFF ANALYSIS FOR ADVANCED 3D INTEGRATION THERMAL EXPLORATION AND SIGN-OFF ANALYSIS FOR ADVANCED 3D INTEGRATION Cristiano Santos 1, Pascal Vivet 1, Lee Wang 2, Michael White 2, Alexandre Arriordaz 3 DAC Designer Track 2017 Pascal Vivet Jun/2017

More information

Curve Tracing Systems

Curve Tracing Systems Curve Tracing Systems Models Available MultiTrace: The most flexible solution for devices up to 625 pins, capable of any of the applications described here. Comes with a PGA-625 fixture MegaTrace: A larger

More information

3D Integration & Packaging Challenges with through-silicon-vias (TSV)

3D Integration & Packaging Challenges with through-silicon-vias (TSV) NSF Workshop 2/02/2012 3D Integration & Packaging Challenges with through-silicon-vias (TSV) Dr John U. Knickerbocker IBM - T.J. Watson Research, New York, USA Substrate IBM Research Acknowledgements IBM

More information

Lab. Course Goals. Topics. What is VLSI design? What is an integrated circuit? VLSI Design Cycle. VLSI Design Automation

Lab. Course Goals. Topics. What is VLSI design? What is an integrated circuit? VLSI Design Cycle. VLSI Design Automation Course Goals Lab Understand key components in VLSI designs Become familiar with design tools (Cadence) Understand design flows Understand behavioral, structural, and physical specifications Be able to

More information

PI6C557-01BQ. PCIe 3.0 Clock Generator with 1 HCSL Outputs. Features. Description. Pin Configuration (16-Pin TQFN) Block Diagram

PI6C557-01BQ. PCIe 3.0 Clock Generator with 1 HCSL Outputs. Features. Description. Pin Configuration (16-Pin TQFN) Block Diagram s Features ÎÎPCIe 3.0 compliant à à Phase jitter - 0.45ps RMS (High Freq. Typ.) ÎÎLVDS compatible output ÎÎSupply voltage of 3.3V ±10% ÎÎ25MHz crystal or clock input frequency ÎÎHCSL outputs, 0.8V Current

More information

CSE241 VLSI Digital Circuits Winter Lecture 17: Packaging

CSE241 VLSI Digital Circuits Winter Lecture 17: Packaging CSE241 VLSI Digital Circuits Winter 2003 Lecture 17: Packaging CSE241A L17 Packaging.1 Kahng, UCSD 2003 Logistics Plan for next four meetings: Today: Packaging Thursday: I/O, Cost Next Tuesday: Memories

More information

DS21T09 Plug and Play SCSI Terminator

DS21T09 Plug and Play SCSI Terminator DS21T09 Plug and Play SCSI Terminator www.dalsemi.com FEATURES Fully compliant with SCSI-1, Fast SCSI and Ultra SCSI Compatible with Plug and Play SCSI Profile Functional drop in replacement for the DS2109

More information

EMBEDDED SYSTEMS COURSE CURRICULUM

EMBEDDED SYSTEMS COURSE CURRICULUM On a Mission to Transform Talent EMBEDDED SYSTEMS COURSE CURRICULUM Table of Contents Module 1: Basic Electronics and PCB Software Overview (Duration: 1 Week)...2 Module 2: Embedded C Programming (Duration:

More information

ARCHIVE 2008 COPYRIGHT NOTICE

ARCHIVE 2008 COPYRIGHT NOTICE Keynote Speaker ARCHIVE 2008 Packaging & Assembly in Pursuit of Moore s Law and Beyond Karl Johnson Ph.D. Vice President and Senior Fellow Advanced Packaging Systems Integration Laboratory Freescale Semiconductor

More information

OPERATIONAL UP TO. 300 c. Microcontrollers Memories Logic

OPERATIONAL UP TO. 300 c. Microcontrollers Memories Logic OPERATIONAL UP TO 300 c Microcontrollers Memories Logic Whether You Need an ASIC, Mixed Signal, Processor, or Peripheral, Tekmos is Your Source for High Temperature Electronics Using either a bulk silicon

More information

Moore s s Law, 40 years and Counting

Moore s s Law, 40 years and Counting Moore s s Law, 40 years and Counting Future Directions of Silicon and Packaging Bill Holt General Manager Technology and Manufacturing Group Intel Corporation InterPACK 05 2005 Heat Transfer Conference

More information

Construction of an electrical model of a TQFP100 package with IC-EMC

Construction of an electrical model of a TQFP100 package with IC-EMC Construction of an electrical model of a TQFP100 package with IC-EMC A. Boyer INSA-GEI, 135 Av de Rangueil 31077 Toulouse France Contact : alexandre.boyer@insa-toulouse.fr web site : www.ic-emc.org Abstract:

More information

Package level Interconnect Options

Package level Interconnect Options Package level Interconnect Options J.Balachandran,S.Brebels,G.Carchon, W.De Raedt, B.Nauwelaers,E.Beyne imec 2005 SLIP 2005 April 2 3 Sanfrancisco,USA Challenges in Nanometer Era Integration capacity F

More information

AMchip architecture & design

AMchip architecture & design Sezione di Milano AMchip architecture & design Alberto Stabile - INFN Milano AMchip theoretical principle Associative Memory chip: AMchip Dedicated VLSI device - maximum parallelism Each pattern with private

More information

Topics. ! PLAs.! Memories: ! Datapaths.! Floor Planning ! ROM;! SRAM;! DRAM. Modern VLSI Design 2e: Chapter 6. Copyright 1994, 1998 Prentice Hall

Topics. ! PLAs.! Memories: ! Datapaths.! Floor Planning ! ROM;! SRAM;! DRAM. Modern VLSI Design 2e: Chapter 6. Copyright 1994, 1998 Prentice Hall Topics! PLAs.! Memories:! ROM;! SRAM;! DRAM.! Datapaths.! Floor Planning Programmable logic array (PLA)! Used to implement specialized logic functions.! A PLA decodes only some addresses (input values);

More information

SMAFTI Package Technology Features Wide-Band and Large-Capacity Memory

SMAFTI Package Technology Features Wide-Band and Large-Capacity Memory SMAFTI Package Technology Features Wide-Band and Large-Capacity Memory KURITA Yoichiro, SOEJIMA Koji, KAWANO Masaya Abstract and NEC Corporation have jointly developed an ultra-compact system-in-package

More information

ECE520 VLSI Design. Lecture 1: Introduction to VLSI Technology. Payman Zarkesh-Ha

ECE520 VLSI Design. Lecture 1: Introduction to VLSI Technology. Payman Zarkesh-Ha ECE520 VLSI Design Lecture 1: Introduction to VLSI Technology Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Wednesday 2:00-3:00PM or by appointment E-mail: pzarkesh@unm.edu Slide: 1 Course Objectives

More information

ECE 5745 Complex Digital ASIC Design Topic 12: Synthesis Algorithms

ECE 5745 Complex Digital ASIC Design Topic 12: Synthesis Algorithms ECE 5745 Complex Digital ASIC Design Topic 12: Synthesis Algorithms Christopher Batten School of Electrical and Computer Engineering Cornell University http://www.csl.cornell.edu/courses/ece5745 RTL to

More information

Power IC 용 ESD 보호기술. 구용서 ( Yong-Seo Koo ) Electronic Engineering Dankook University, Korea

Power IC 용 ESD 보호기술. 구용서 ( Yong-Seo Koo ) Electronic Engineering Dankook University, Korea Power IC 용 ESD 보호기술 구용서 ( Yong-Seo Koo ) Electronic Engineering Dankook University, Korea yskoo@dankook.ac.kr 031-8005-3625 Outline Introduction Basic Concept of ESD Protection Circuit ESD Technology Issue

More information

8D-3. Experiences of Low Power Design Implementation and Verification. Shi-Hao Chen. Jiing-Yuan Lin

8D-3. Experiences of Low Power Design Implementation and Verification. Shi-Hao Chen. Jiing-Yuan Lin Experiences of Low Power Design Implementation and Verification Shi-Hao Chen Global Unichip Corp. Hsin-Chu Science Park, Hsin-Chu, Taiwan 300 +886-3-564-6600 hockchen@globalunichip.com Jiing-Yuan Lin Global

More information

BGA Socketing Systems

BGA Socketing Systems DATA BOOK BGA-TECH04 (REV. 3/04) BGA Socketing Systems Search for a footprint or build a part number online at www.bgasockets.com Solutions for Virtually Any BGA Application BGA Socket Adapter System Designed

More information

Low-Power Technology for Image-Processing LSIs

Low-Power Technology for Image-Processing LSIs Low- Technology for Image-Processing LSIs Yoshimi Asada The conventional LSI design assumed power would be supplied uniformly to all parts of an LSI. For a design with multiple supply voltages and a power

More information

Advancing high performance heterogeneous integration through die stacking

Advancing high performance heterogeneous integration through die stacking Advancing high performance heterogeneous integration through die stacking Suresh Ramalingam Senior Director, Advanced Packaging European 3D TSV Summit Jan 22 23, 2013 The First Wave of 3D ICs Perfecting

More information

A Proposed Set of Specific Standard EMC Problems To Help Engineers Evaluate EMC Modeling Tools

A Proposed Set of Specific Standard EMC Problems To Help Engineers Evaluate EMC Modeling Tools A Proposed Set of Specific Standard EMC Problems To Help Engineers Evaluate EMC Modeling Tools Bruce Archambeault, Ph. D Satish Pratapneni, Ph.D. David C. Wittwer, Ph. D Lauren Zhang, Ph.D. Juan Chen,

More information

Revolutionary High Performance Interconnect Which Maximizes Signal Density

Revolutionary High Performance Interconnect Which Maximizes Signal Density Revolutionary High Performance Interconnect Which Maximizes Signal Density Tom Cohen and Gautam Patel Teradyne Connection Systems 44 Simon St. Nashua, New Hampshire 03060 Phone: 603-791-3383, 603-791-3164

More information

Design and Technology Trends

Design and Technology Trends Lecture 1 Design and Technology Trends R. Saleh Dept. of ECE University of British Columbia res@ece.ubc.ca 1 Recently Designed Chips Itanium chip (Intel), 2B tx, 700mm 2, 8 layer 65nm CMOS (4 processors)

More information

Cell Libraries and Design Hierarchy. Instructor S. Demlow ECE 410 February 1, 2012

Cell Libraries and Design Hierarchy. Instructor S. Demlow ECE 410 February 1, 2012 Cell Libraries and Design Hierarchy Instructor S. Demlow ECE 410 February 1, 2012 Stick Diagrams Simplified NAND Layout Simplified NOR Layout Metal supply rails blue n and p Active green Poly gates red

More information

I N T E R C O N N E C T A P P L I C A T I O N N O T E. STEP-Z Connector Routing. Report # 26GC001-1 February 20, 2006 v1.0

I N T E R C O N N E C T A P P L I C A T I O N N O T E. STEP-Z Connector Routing. Report # 26GC001-1 February 20, 2006 v1.0 I N T E R C O N N E C T A P P L I C A T I O N N O T E STEP-Z Connector Routing Report # 26GC001-1 February 20, 2006 v1.0 STEP-Z CONNECTOR FAMILY Copyright 2006 Tyco Electronics Corporation, Harrisburg,

More information

VLSI Test Technology and Reliability (ET4076)

VLSI Test Technology and Reliability (ET4076) VLSI Test Technology and Reliability (ET4076) Lecture 8(2) I DDQ Current Testing (Chapter 13) Said Hamdioui Computer Engineering Lab Delft University of Technology 2009-2010 1 Learning aims Describe the

More information

Process-Induced Skew Variation for Scaled 2-D and 3-D ICs

Process-Induced Skew Variation for Scaled 2-D and 3-D ICs Process-Induced Skew Variation for Scaled 2-D and 3-D ICs Hu Xu, Vasilis F. Pavlidis, and Giovanni De Micheli LSI-EPFL July 26, 2010 SLIP 2010, Anaheim, USA Presentation Outline 2-D and 3-D Clock Distribution

More information

3D TECHNOLOGIES: SOME PERSPECTIVES FOR MEMORY INTERCONNECT AND CONTROLLER

3D TECHNOLOGIES: SOME PERSPECTIVES FOR MEMORY INTERCONNECT AND CONTROLLER 3D TECHNOLOGIES: SOME PERSPECTIVES FOR MEMORY INTERCONNECT AND CONTROLLER CODES+ISSS: Special session on memory controllers Taipei, October 10 th 2011 Denis Dutoit, Fabien Clermidy, Pascal Vivet {denis.dutoit@cea.fr}

More information

Thermal Sign-Off Analysis for Advanced 3D IC Integration

Thermal Sign-Off Analysis for Advanced 3D IC Integration Sign-Off Analysis for Advanced 3D IC Integration Dr. John Parry, CEng. Senior Industry Manager Mechanical Analysis Division May 27, 2018 Topics n Acknowledgements n Challenges n Issues with Existing Solutions

More information

THERMAL GRADIENT AND IR DROP AWARE DESIGN FLOW FOR ANALOG-INTENSIVE ASICS

THERMAL GRADIENT AND IR DROP AWARE DESIGN FLOW FOR ANALOG-INTENSIVE ASICS THERMAL GRADIENT AND IR DROP AWARE DESIGN FLOW FOR ANALOG-INTENSIVE ASICS Pacific MicroCHIP Corp. AIMS-CAT November, 2009 OUTLINE Motivation Thermal Gradient Impact Simulation Methodology Results Accurate

More information

Packaging Technology for Image-Processing LSI

Packaging Technology for Image-Processing LSI Packaging Technology for Image-Processing LSI Yoshiyuki Yoneda Kouichi Nakamura The main function of a semiconductor package is to reliably transmit electric signals from minute electrode pads formed on

More information

Physical Design Implementation for 3D IC Methodology and Tools. Dave Noice Vassilios Gerousis

Physical Design Implementation for 3D IC Methodology and Tools. Dave Noice Vassilios Gerousis I NVENTIVE Physical Design Implementation for 3D IC Methodology and Tools Dave Noice Vassilios Gerousis Outline 3D IC Physical components Modeling 3D IC Stack Configuration Physical Design With TSV Summary

More information

MP6219 5V, 1A 2A Programmable Current Limit Power Distribution Switch

MP6219 5V, 1A 2A Programmable Current Limit Power Distribution Switch The Future of Analog IC Technology MP6219 5V, 1A 2A Programmable Current Limit Power Distribution Switch DESCRIPTION The MP6219 is a protection device designed to protect circuitry on the output from transients

More information