Write-Optimized and High-Performance Hashing Index Scheme for Persistent Memory

Size: px
Start display at page:

Download "Write-Optimized and High-Performance Hashing Index Scheme for Persistent Memory"

Transcription

1 Write-Optimized and High-Performance Hashing Index Scheme for Persistent Memory Pengfei Zuo, Yu Hua, Jie Wu Huazhong University of Science and Technology, China 3th USENIX Symposium on Operating Systems Design and Implementation (OSDI), 28

2 Persistent Memory (PM) Non-volatile memory as PM is expected to replace or complement DRAM as main memory Non-volatility, low power, large capacity PCM ReRAM DRAM Read (ns) Write (ns) Non-volatility Standby Power ~ ~ High Density (Gb/cm 2 ) C. Xu et al. Overcoming the Challenges of Crossbar Resistive Memory Architectures, HPCA, 25. K. Suzuki and S. Swanson. A Survey of Trends in Non-Volatile Memory Technologies: 2-24, IMW 25. PCM ReRAM 2

3 Index Structures in DRAM vs PM Index structures are critical for memory&storage systems Traditional indexing techniques originally designed for DRAM become inefficient in PM Hardware limitations of NVM Limited cell endurance Asymmetric read/write latency and energy Write optimization matters The requirement of data consistency Data are persistently stored in PM Crash consistency on system failures CPU Persist 3

4 Tree-based vs Hashing Index Structures Tree-based index structures Pros: good for range query Cons: O(log(n)) time complexity for point query Ones for PM have been widely studied CDDS B-tree [FAST ] NV-Tree [FAST 5] wb+-tree [VLDB 5] FP-Tree [SIGMOD 6] WORT [FAST 7] FAST&FAIR [FAST 8] 4

5 Tree-based vs Hashing Index Structures Tree-based index structures Pros: good for range query Cons: O(log(n)) time complexity for point query Ones for PM have been widely studied CDDS B-tree [FAST ] NV-Tree [FAST 5] wb+-tree [VLDB 5] FP-Tree [SIGMOD 6] WORT [FAST 7] FAST&FAIR [FAST 8] Hashing index structures Pros: constant time complexity for point query Cons: do not support range query Widely used in main memory Main memory databases In-memory key-value stores, e.g., Memcached and Redis When maintained in PM, multiple non-trivial challenges exist Rarely touched by existing work 5

6 Challenges of Hashing Indexes for PM High overhead for consistency guarantee Ordering memory writes Cache line flush and memory fence instructions Avoiding partial updates for non-atomic writes Logging or copy-on-write (CoW) mechanisms CPU Volatile caches Memory Bus 8-byte width Non-volatile memory 6

7 Challenges of Hashing Indexes for PM High overhead for consistency guarantee 2 Performance degradation for reducing writes Hashing schemes for DRAM usually cause many extra writes for dealing with hash collisions [INFLOW 5, MSST 7] Write-friendly hashing schemes reduce writes but at the cost of decreasing access performance PCM-friendly hash table (PFHT) [INFLOW 5] Path hashing [MSST 7] 7

8 Challenges of Hashing Indexes for PM High overhead for consistency guarantee 2 Performance degradation for reducing writes 3 Cost inefficiency for resizing hash table Double the table size and iteratively rehash all items Take O(N) time to complete N insertions with cache line flushes & memory fences Rehash all items Old Hash Table New Hash Table 8

9 Existing Hashing Index Schemes for PM ( : bad, : good, -- : moderate) Bucketized Cuckoo (BCH) PFHT Path Hashing 2 Memory efficiency Search Deletion Insertion NVM writes Resizing Consistency [] B. Debnath et al. Revisiting hash table design for phase change memory, INFLOW, 25. [2] P. Zuo and Y. Hua. A write-friendly hashing scheme for non-volatile memory systems, MSST, 27. 9

10 Existing Hashing Index Schemes for PM ( : bad, : good, -- : moderate) Bucketized Cuckoo (BCH) PFHT Path Hashing 2 Level Hashing Memory efficiency Search Deletion Insertion NVM writes Resizing Consistency [] B. Debnath et al. Revisiting hash table design for phase change memory, INFLOW, 25. [2] P. Zuo and Y. Hua. A write-friendly hashing scheme for non-volatile memory systems, MSST, 27.

11 Level Hashing Write-optimized & High-performance Hash Table Structure x One movement TL: N-4 N-3 N-2 N- BL: One movement Resizing support Consistency support Cost-efficient In-place Resizing Scheme Low-overhead Consistency Guarantee Scheme

12 Write-optimized Hash Table Structure Multiple slots per bucket Two hash locations for each key Sharing-based two-level structure At most one movement for each successful insertion 2

13 Maximum Load Factor Write-optimized Hash Table Structure Multiple slots per bucket % 8% 2 Two hash locations for each key 6% 3 4 Sharing-based two-level structure At most one movement for each successful insertion 4% 2% % 2.2% D D+D2 D+D2+D3 All x TL: N-4 N-3 N-2 N- 3

14 Maximum Load Factor Write-optimized Hash Table Structure Multiple slots per bucket % 8% 2 Two hash locations for each key 6% 47.6% 3 4 Sharing-based two-level structure At most one movement for each successful insertion 4% 2% % 2.2% D D+D2 D+D2+D3 All x TL: N-4 N-3 N-2 N- 4

15 Maximum Load Factor Write-optimized Hash Table Structure Multiple slots per bucket % 8% 82.5% 2 Two hash locations for each key 6% 47.6% 3 4 Sharing-based two-level structure At most one movement for each successful insertion 4% 2% % 2.2% D D+D2 D+D2+D3 All x TL: N-4 N-3 N-2 N- BL: 5

16 Maximum Load Factor Write-optimized Hash Table Structure Multiple slots per bucket % 8% 82.5% 9.% 2 Two hash locations for each key 6% 47.6% 3 4 Sharing-based two-level structure At most one movement for each successful insertion 4% 2% % 2.2% D D+D2 D+D2+D3 All x One movement TL: N-4 N-3 N-2 N- BL: One movement 6

17 Write-optimized Hash Table Structure Write-optimized: only.2% of insertions incur one movement High-performance: constant-scale time complexity for all operations Memory-efficient: achieve high load factor by evenly distributing items x One movement TL: N-4 N-3 N-2 N- BL: One movement 7

18 Cost-efficient In-place Resizing Put a new level on top of the old hash table and only rehash items in the old bottom level TL: 2 3 N-2 N- BL: 8

19 Cost-efficient In-place Resizing Put a new level on top of the old hash table and only rehash items in the old bottom level TL: N-4 2N-3 2N-2 2N- TL: BL: 9

20 Cost-efficient In-place Resizing Put a new level on top of the old hash table and only rehash items in the old bottom level TL: N-4 2N-3 2N-2 2N- BL: IL: (the interim level ) 2

21 Cost-efficient In-place Resizing Put a new level on top of the old hash table and only rehash items in the old bottom level TL: BL: N-4 2N-3 2N-2 2N- Rehashing IL: (the interim level ) 2

22 Cost-efficient In-place Resizing Put a new level on top of the old hash table and only rehash items in the old bottom level TL: N-4 2N-3 2N-2 2N- BL: 22

23 Cost-efficient In-place Resizing Put a new level on top of the old hash table and only rehash items in the old bottom level The new hash table is exactly double size of the old one Only /3 buckets (i.e., the old bottom level) are rehashed TL: N-4 2N-3 2N-2 2N- BL: 23

24 Low-overhead Consistency Guarantee A token associated with each slot in the openaddressing hash tables Indicate whether the slot is empty A token is bit, e.g., for non-empty, for empty A bucket: KV KV Tokens Slots 24

25 Low-overhead Consistency Guarantee A token associated with each slot in the openaddressing hash tables Indicate whether the slot is empty A token is bit, e.g., for non-empty, for empty Modifying the token area only needs an atomic write Leveraging the token to perform log-free operations A bucket: KV KV Tokens Slots 25

26 Log-free Deletion Delete an existing item Delete KV KV 26

27 Log-free Deletion Delete an existing item Delete KV KV Modify the token in an atomic write KV KV 27

28 Log-free Deletion Delete an existing item Delete KV KV Modify the token in an atomic write KV KV Log-free insertion and log-free resizing Please find them in our paper 28

29 Consistency Guarantee for Update If directly update an existing key-value item in place Inconsistency on system failures Update KV KV 29

30 Consistency Guarantee for Update If directly update an existing key-value item in place Inconsistency on system failures Update A straightforward solution is to use logging KV KV Expensive! 3

31 Opportunistic Log-free Update Our scheme: check whether there is an empty slot in the bucket storing the old item Yes: log-free update Update No: using logging KV KV Write KV in an empty slot KV KV KV 2 Modify the two tokens in an atomic write KV KV KV 3

32 Log-free Probability Opportunistic Log-free Update Our scheme: check whether there is an empty slot in the bucket storing the old item Yes: log-free update Update No: using logging KV KV % 8% Write KV in an empty slot 6% 4% 2% % 4 slots/bucket 8 slots/bucket 6 slots/bucket Load Factor KV KV KV KV KV 2 Modify the two tokens in an atomic write KV 32

33 Performance Evaluation Both in DRAM and simulated PM platforms Quartz (Hewlett Packard) A DRAM-based performance emulator for PM Comparisons Bucketized cuckoo hashing (BCH) [NSDI 3] PCM-friendly hash table (PFHT) [INFLOW 5] Path hashing [MSST 7] In PM, implement their persistent versions using our proposed log-free consistency guarantee schemes 33

34 Insertion Latency (ns) Insertion Latency (ns) Insertion Latency 24 6 BCH Path PFHT Level BCH Path PFHT Level Load Factor Load Factor DRAM NVM read/write latency: 2/6 Level hashing has the best insertion performance in both DRAM and NVM 34

35 Update Latency (ns) Update Latency BCH PFHT Path Level Level w/o Opp Load Factor Opportunistic log-free update scheme reduces the update latency by 5% 52%, i.e., speeding up the updates by

36 Search Latency (ns) Search Latency BCH PFHT Path Level Positive Search Negative Search The search latency of level hashing is close to that of BCH, which is much lower than PFHT and path hashing 36

37 The Resizing Time (s) Resizing Time BCH PFHT Path Level-Trad Level DRAM NVM-2ns/6ns Level hashing reduces the resizing time by about 76%, i.e., speeding up the resizing by

38 Throughput (M reqs/s) Concurrent Throughput Libcu-2 Level-2 Libcu-4 Level-4 Libcu-8 Level-8 Libcu-6 Level-6 Concurrent level hashing: Support multiple-reader multiplewriter concurrency via simply using fine-grained locking / 7/3 5/5 3/7 /9 Search/Insertion Ratio (%) Concurrent level hashing has.6 2. higher throughput than libcuckoo, due to locking fewer slots for insertions [] X. Li et al.. Algorithmic improvements for fast concurrent cuckoo hashing, Eurosys,

39 Conclusion Traditional indexing techniques originally designed for DRAM become inefficient in PM We propose level hashing, a write-optimized and highperformance hashing index scheme for PM Write-optimized hash table structure Cost-efficient in-place resizing Log-free consistency guarantee.4 3. speedup for insertion,.2 2. speedup for update, and over 4.3 speedup for resizing 39

40 Thanks! Q&A Open-source code:

Write-Optimized and High-Performance Hashing Index Scheme for Persistent Memory

Write-Optimized and High-Performance Hashing Index Scheme for Persistent Memory Write-Optimized and High-Performance Hashing Index Scheme for Persistent Memory Pengfei Zuo, Yu Hua, and Jie Wu, Huazhong University of Science and Technology https://www.usenix.org/conference/osdi18/presentation/zuo

More information

WORT: Write Optimal Radix Tree for Persistent Memory Storage Systems

WORT: Write Optimal Radix Tree for Persistent Memory Storage Systems WORT: Write Optimal Radix Tree for Persistent Memory Storage Systems Se Kwon Lee K. Hyun Lim 1, Hyunsub Song, Beomseok Nam, Sam H. Noh UNIST 1 Hongik University Persistent Memory (PM) Persistent memory

More information

Deukyeon Hwang UNIST. Wook-Hee Kim UNIST. Beomseok Nam UNIST. Hanyang Univ.

Deukyeon Hwang UNIST. Wook-Hee Kim UNIST. Beomseok Nam UNIST. Hanyang Univ. Deukyeon Hwang UNIST Wook-Hee Kim UNIST Youjip Won Hanyang Univ. Beomseok Nam UNIST Fast but Asymmetric Access Latency Non-Volatility Byte-Addressability Large Capacity CPU Caches (Volatile) Persistent

More information

Near Memory Key/Value Lookup Acceleration MemSys 2017

Near Memory Key/Value Lookup Acceleration MemSys 2017 Near Key/Value Lookup Acceleration MemSys 2017 October 3, 2017 Scott Lloyd, Maya Gokhale Center for Applied Scientific Computing This work was performed under the auspices of the U.S. Department of Energy

More information

NVthreads: Practical Persistence for Multi-threaded Applications

NVthreads: Practical Persistence for Multi-threaded Applications NVthreads: Practical Persistence for Multi-threaded Applications Terry Hsu*, Purdue University Helge Brügner*, TU München Indrajit Roy*, Google Inc. Kimberly Keeton, Hewlett Packard Labs Patrick Eugster,

More information

A Write-friendly Hashing Scheme for Non-volatile Memory Systems

A Write-friendly Hashing Scheme for Non-volatile Memory Systems A Write-friendly Hashing Scheme for Non-volatile Memory Systems Pengfei Zuo and Yu Hua Wuhan National Laboratory for Optoelectronics School of Computer, Huazhong University of Science and Technology, Wuhan,

More information

arxiv: v1 [cs.dc] 3 Jan 2019

arxiv: v1 [cs.dc] 3 Jan 2019 A Secure and Persistent Memory System for Non-volatile Memory Pengfei Zuo *, Yu Hua *, Yuan Xie * Huazhong University of Science and Technology University of California, Santa Barbara arxiv:1901.00620v1

More information

Write-Optimized Dynamic Hashing for Persistent Memory

Write-Optimized Dynamic Hashing for Persistent Memory Write-Optimized Dynamic Hashing for Persistent Memory Moohyeon Nam, UNIST (Ulsan National Institute of Science and Technology); Hokeun Cha, Sungkyunkwan University; Young-ri Choi and Sam H. Noh, UNIST

More information

Big and Fast. Anti-Caching in OLTP Systems. Justin DeBrabant

Big and Fast. Anti-Caching in OLTP Systems. Justin DeBrabant Big and Fast Anti-Caching in OLTP Systems Justin DeBrabant Online Transaction Processing transaction-oriented small footprint write-intensive 2 A bit of history 3 OLTP Through the Years relational model

More information

MemC3: MemCache with CLOCK and Concurrent Cuckoo Hashing

MemC3: MemCache with CLOCK and Concurrent Cuckoo Hashing MemC3: MemCache with CLOCK and Concurrent Cuckoo Hashing Bin Fan (CMU), Dave Andersen (CMU), Michael Kaminsky (Intel Labs) NSDI 2013 http://www.pdl.cmu.edu/ 1 Goal: Improve Memcached 1. Reduce space overhead

More information

SoftWrAP: A Lightweight Framework for Transactional Support of Storage Class Memory

SoftWrAP: A Lightweight Framework for Transactional Support of Storage Class Memory SoftWrAP: A Lightweight Framework for Transactional Support of Storage Class Memory Ellis Giles Rice University Houston, Texas erg@rice.edu Kshitij Doshi Intel Corp. Portland, OR kshitij.a.doshi@intel.com

More information

Mohsen Imani. University of California San Diego. System Energy Efficiency Lab seelab.ucsd.edu

Mohsen Imani. University of California San Diego. System Energy Efficiency Lab seelab.ucsd.edu Mohsen Imani University of California San Diego Winter 2016 Technology Trend for IoT http://www.flashmemorysummit.com/english/collaterals/proceedi ngs/2014/20140807_304c_hill.pdf 2 Motivation IoT significantly

More information

Mnemosyne Lightweight Persistent Memory

Mnemosyne Lightweight Persistent Memory Mnemosyne Lightweight Persistent Memory Haris Volos Andres Jaan Tack, Michael M. Swift University of Wisconsin Madison Executive Summary Storage-Class Memory (SCM) enables memory-like storage Persistent

More information

Accessing NVM Locally and over RDMA Challenges and Opportunities

Accessing NVM Locally and over RDMA Challenges and Opportunities Accessing NVM Locally and over RDMA Challenges and Opportunities Wendy Elsasser Megan Grodowitz William Wang MSST - May 2018 Emerging NVM A wide variety of technologies with varied characteristics Address

More information

BzTree: A High-Performance Latch-free Range Index for Non-Volatile Memory

BzTree: A High-Performance Latch-free Range Index for Non-Volatile Memory BzTree: A High-Performance Latch-free Range Index for Non-Volatile Memory JOY ARULRAJ JUSTIN LEVANDOSKI UMAR FAROOQ MINHAS PER-AKE LARSON Microsoft Research NON-VOLATILE MEMORY [NVM] PERFORMANCE DRAM VOLATILE

More information

Phase Change Memory An Architecture and Systems Perspective

Phase Change Memory An Architecture and Systems Perspective Phase Change Memory An Architecture and Systems Perspective Benjamin Lee Electrical Engineering Stanford University Stanford EE382 2 December 2009 Benjamin Lee 1 :: PCM :: 2 Dec 09 Memory Scaling density,

More information

NV-Tree Reducing Consistency Cost for NVM-based Single Level Systems

NV-Tree Reducing Consistency Cost for NVM-based Single Level Systems NV-Tree Reducing Consistency Cost for NVM-based Single Level Systems Jun Yang 1, Qingsong Wei 1, Cheng Chen 1, Chundong Wang 1, Khai Leong Yong 1 and Bingsheng He 2 1 Data Storage Institute, A-STAR, Singapore

More information

BIBIM: A Prototype Multi-Partition Aware Heterogeneous New Memory

BIBIM: A Prototype Multi-Partition Aware Heterogeneous New Memory HotStorage 18 BIBIM: A Prototype Multi-Partition Aware Heterogeneous New Memory Gyuyoung Park 1, Miryeong Kwon 1, Pratyush Mahapatra 2, Michael Swift 2, and Myoungsoo Jung 1 Yonsei University Computer

More information

System Software for Persistent Memory

System Software for Persistent Memory System Software for Persistent Memory Subramanya R Dulloor, Sanjay Kumar, Anil Keshavamurthy, Philip Lantz, Dheeraj Reddy, Rajesh Sankaran and Jeff Jackson 72131715 Neo Kim phoenixise@gmail.com Contents

More information

Logging in Persistent Memory: to Cache, or Not to Cache? Mengjie Li, Matheus Ogleari, Jishen Zhao

Logging in Persistent Memory: to Cache, or Not to Cache? Mengjie Li, Matheus Ogleari, Jishen Zhao Logging in Persistent Memory: to Cache, or Not to Cache? Mengjie Li, Matheus Ogleari, Jishen Zhao Persistent Memory CPU DRAM Disk/Flash Memory Load/store Not persistent Storage Fopen, fread, fwrite, Persistent

More information

Moneta: A High-Performance Storage Architecture for Next-generation, Non-volatile Memories

Moneta: A High-Performance Storage Architecture for Next-generation, Non-volatile Memories Moneta: A High-Performance Storage Architecture for Next-generation, Non-volatile Memories Adrian M. Caulfield Arup De, Joel Coburn, Todor I. Mollov, Rajesh K. Gupta, Steven Swanson Non-Volatile Systems

More information

Phase Change Memory An Architecture and Systems Perspective

Phase Change Memory An Architecture and Systems Perspective Phase Change Memory An Architecture and Systems Perspective Benjamin C. Lee Stanford University bcclee@stanford.edu Fall 2010, Assistant Professor @ Duke University Benjamin C. Lee 1 Memory Scaling density,

More information

Overcoming System Memory Challenges with Persistent Memory and NVDIMM-P

Overcoming System Memory Challenges with Persistent Memory and NVDIMM-P Overcoming System Memory Challenges with Persistent Memory and NVDIMM-P JEDEC Server Forum 2017 Bill Gervasi, Discobolus Designs Copyright 2017 Jonathan Hinkle, Lenovo Datacenter Research and Technology

More information

Open Addressing: Linear Probing (cont.)

Open Addressing: Linear Probing (cont.) Open Addressing: Linear Probing (cont.) Cons of Linear Probing () more complex insert, find, remove methods () primary clustering phenomenon items tend to cluster together in the bucket array, as clustering

More information

DFPC: A Dynamic Frequent Pattern Compression Scheme in NVM-based Main Memory

DFPC: A Dynamic Frequent Pattern Compression Scheme in NVM-based Main Memory DFPC: A Dynamic Frequent Pattern Compression Scheme in NVM-based Main Memory Yuncheng Guo, Yu Hua, Pengfei Zuo Wuhan National Laboratory for Optoelectronics, School of Computer Science and Technology Huazhong

More information

Farewell to Servers: Hardware, Software, and Network Approaches towards Datacenter Resource Disaggregation

Farewell to Servers: Hardware, Software, and Network Approaches towards Datacenter Resource Disaggregation Farewell to Servers: Hardware, Software, and Network Approaches towards Datacenter Resource Disaggregation Yiying Zhang Datacenter 3 Monolithic Computer OS / Hypervisor 4 Can monolithic Application Hardware

More information

Runtime Data Management on Non-volatile Memory-based Heterogeneous Memory for Task-Parallel Programs

Runtime Data Management on Non-volatile Memory-based Heterogeneous Memory for Task-Parallel Programs Runtime Data Management on Non-volatile Memory-based Heterogeneous Memory for Task-Parallel Programs Kai Wu Jie Ren University of California, Merced PASA Lab Dong Li SC 18 1 Non-volatile Memory is Promising

More information

Hardware Support for NVM Programming

Hardware Support for NVM Programming Hardware Support for NVM Programming 1 Outline Ordering Transactions Write endurance 2 Volatile Memory Ordering Write-back caching Improves performance Reorders writes to DRAM STORE A STORE B CPU CPU B

More information

JOURNALING techniques have been widely used in modern

JOURNALING techniques have been widely used in modern IEEE TRANSACTIONS ON COMPUTERS, VOL. XX, NO. X, XXXX 2018 1 Optimizing File Systems with a Write-efficient Journaling Scheme on Non-volatile Memory Xiaoyi Zhang, Dan Feng, Member, IEEE, Yu Hua, Senior

More information

Dalí: A Periodically Persistent Hash Map

Dalí: A Periodically Persistent Hash Map Dalí: A Periodically Persistent Hash Map Faisal Nawab* 1, Joseph Izraelevitz* 2, Terence Kelly*, Charles B. Morrey III*, Dhruva R. Chakrabarti*, and Michael L. Scott 2 1 Department of Computer Science

More information

HashKV: Enabling Efficient Updates in KV Storage via Hashing

HashKV: Enabling Efficient Updates in KV Storage via Hashing HashKV: Enabling Efficient Updates in KV Storage via Hashing Helen H. W. Chan, Yongkun Li, Patrick P. C. Lee, Yinlong Xu The Chinese University of Hong Kong University of Science and Technology of China

More information

An Analysis of Persistent Memory Use with WHISPER

An Analysis of Persistent Memory Use with WHISPER An Analysis of Persistent Memory Use with WHISPER Sanketh Nalli, Swapnil Haria, Michael M. Swift, Mark D. Hill, Haris Volos*, Kimberly Keeton* University of Wisconsin- Madison & *Hewlett- Packard Labs

More information

Strata: A Cross Media File System. Youngjin Kwon, Henrique Fingler, Tyler Hunt, Simon Peter, Emmett Witchel, Thomas Anderson

Strata: A Cross Media File System. Youngjin Kwon, Henrique Fingler, Tyler Hunt, Simon Peter, Emmett Witchel, Thomas Anderson A Cross Media File System Youngjin Kwon, Henrique Fingler, Tyler Hunt, Simon Peter, Emmett Witchel, Thomas Anderson 1 Let s build a fast server NoSQL store, Database, File server, Mail server Requirements

More information

High-Performance Key-Value Store on OpenSHMEM

High-Performance Key-Value Store on OpenSHMEM High-Performance Key-Value Store on OpenSHMEM Huansong Fu*, Manjunath Gorentla Venkata, Ahana Roy Choudhury*, Neena Imam, Weikuan Yu* *Florida State University Oak Ridge National Laboratory Outline Background

More information

Gen-Z Memory-Driven Computing

Gen-Z Memory-Driven Computing Gen-Z Memory-Driven Computing Our vision for the future of computing Patrick Demichel Distinguished Technologist Explosive growth of data More Data Need answers FAST! Value of Analyzed Data 2005 0.1ZB

More information

Soft Updates Made Simple and Fast on Non-volatile Memory

Soft Updates Made Simple and Fast on Non-volatile Memory Soft Updates Made Simple and Fast on Non-volatile Memory Mingkai Dong, Haibo Chen Institute of Parallel and Distributed Systems, Shanghai Jiao Tong University @ NVMW 18 Non-volatile Memory (NVM) ü Non-volatile

More information

Novel Nonvolatile Memory Hierarchies to Realize "Normally-Off Mobile Processors" ASP-DAC 2014

Novel Nonvolatile Memory Hierarchies to Realize Normally-Off Mobile Processors ASP-DAC 2014 Novel Nonvolatile Memory Hierarchies to Realize "Normally-Off Mobile Processors" ASP-DAC 2014 Shinobu Fujita, Kumiko Nomura, Hiroki Noguchi, Susumu Takeda, Keiko Abe Toshiba Corporation, R&D Center Advanced

More information

Fine-grained Metadata Journaling on NVM

Fine-grained Metadata Journaling on NVM 32nd International Conference on Massive Storage Systems and Technology (MSST 2016) May 2-6, 2016 Fine-grained Metadata Journaling on NVM Cheng Chen, Jun Yang, Qingsong Wei, Chundong Wang, and Mingdi Xue

More information

An Analysis of Persistent Memory Use with WHISPER

An Analysis of Persistent Memory Use with WHISPER An Analysis of Persistent Memory Use with WHISPER Sanketh Nalli, Swapnil Haria, Michael M. Swift, Mark D. Hill, Haris Volos*, Kimberly Keeton* University of Wisconsin- Madison & *Hewlett- Packard Labs

More information

Scalable Concurrent Hash Tables via Relativistic Programming

Scalable Concurrent Hash Tables via Relativistic Programming Scalable Concurrent Hash Tables via Relativistic Programming Josh Triplett September 24, 2009 Speed of data < Speed of light Speed of light: 3e8 meters/second Processor speed: 3 GHz, 3e9 cycles/second

More information

SLM-DB: Single-Level Key-Value Store with Persistent Memory

SLM-DB: Single-Level Key-Value Store with Persistent Memory SLM-DB: Single-Level Key-Value Store with Persistent Memory Olzhas Kaiyrakhmet and Songyi Lee, UNIST; Beomseok Nam, Sungkyunkwan University; Sam H. Noh and Young-ri Choi, UNIST https://www.usenix.org/conference/fast19/presentation/kaiyrakhmet

More information

Onyx: A Prototype Phase-Change Memory Storage Array

Onyx: A Prototype Phase-Change Memory Storage Array Onyx: A Prototype Phase-Change Memory Storage Array Ameen Akel * Adrian Caulfield, Todor Mollov, Rajesh Gupta, Steven Swanson Non-Volatile Systems Laboratory, Department of Computer Science and Engineering

More information

Load-Sto-Meter: Generating Workloads for Persistent Memory Damini Chopra, Doug Voigt Hewlett Packard (Enterprise)

Load-Sto-Meter: Generating Workloads for Persistent Memory Damini Chopra, Doug Voigt Hewlett Packard (Enterprise) Load-Sto-Meter: Generating Workloads for Persistent Memory Damini Chopra, Doug Voigt Hewlett Packard (Enterprise) Application vs. Pure Workloads Benchmarks that reproduce application workloads Assist in

More information

Closing the Performance Gap Between Volatile and Persistent K-V Stores

Closing the Performance Gap Between Volatile and Persistent K-V Stores Closing the Performance Gap Between Volatile and Persistent K-V Stores Yihe Huang, Harvard University Matej Pavlovic, EPFL Virendra Marathe, Oracle Labs Margo Seltzer, Oracle Labs Tim Harris, Oracle Labs

More information

Shepard - A Fast Exact Match Short Read Aligner

Shepard - A Fast Exact Match Short Read Aligner Shepard A Fast Exact Match Short Read Aligner Chad Nelson, Kevin Townsend, Bhavani Rao, Phillip Jones, Joseph Zambreno Department of Electrical and Computer Engineering Iowa State University Ames, IA,

More information

Architectural Support for Atomic Durability in Non-Volatile Memory

Architectural Support for Atomic Durability in Non-Volatile Memory Architectural Support for Atomic Durability in Non-Volatile Memory Arpit Joshi, Vijay Nagarajan, Stratis Viglas, Marcelo Cintra NVMW 2018 Summary Non-Volatile Memory (NVM) - on the memory bus enables in-memory

More information

Using Transparent Compression to Improve SSD-based I/O Caches

Using Transparent Compression to Improve SSD-based I/O Caches Using Transparent Compression to Improve SSD-based I/O Caches Thanos Makatos, Yannis Klonatos, Manolis Marazakis, Michail D. Flouris, and Angelos Bilas {mcatos,klonatos,maraz,flouris,bilas}@ics.forth.gr

More information

Near- Data Computa.on: It s Not (Just) About Performance

Near- Data Computa.on: It s Not (Just) About Performance Near- Data Computa.on: It s Not (Just) About Performance Steven Swanson Non- Vola0le Systems Laboratory Computer Science and Engineering University of California, San Diego 1 Solid State Memories NAND

More information

New Abstractions for Fast Non-Volatile Storage

New Abstractions for Fast Non-Volatile Storage New Abstractions for Fast Non-Volatile Storage Joel Coburn, Adrian Caulfield, Laura Grupp, Ameen Akel, Steven Swanson Non-volatile Systems Laboratory Department of Computer Science and Engineering University

More information

SAY-Go: Towards Transparent and Seamless Storage-As-You-Go with Persistent Memory

SAY-Go: Towards Transparent and Seamless Storage-As-You-Go with Persistent Memory SAY-Go: Towards Transparent and Seamless Storage-As-You-Go with Persistent Memory Hyeonho Song, Sam H. Noh UNIST HotStorage 2018 Contents Persistent Memory Motivation SAY-Go Design Implementation Evaluation

More information

PASTE: A Networking API for Non-Volatile Main Memory

PASTE: A Networking API for Non-Volatile Main Memory PASTE: A Networking API for Non-Volatile Main Memory Michio Honda (NEC Laboratories Europe) Lars Eggert (NetApp) Douglas Santry (NetApp) TSVAREA@IETF 99, Prague May 22th 2017 More details at our HotNets

More information

Memory. Lecture 22 CS301

Memory. Lecture 22 CS301 Memory Lecture 22 CS301 Administrative Daily Review of today s lecture w Due tomorrow (11/13) at 8am HW #8 due today at 5pm Program #2 due Friday, 11/16 at 11:59pm Test #2 Wednesday Pipelined Machine Fetch

More information

SILT: A Memory-Efficient, High- Performance Key-Value Store

SILT: A Memory-Efficient, High- Performance Key-Value Store SILT: A Memory-Efficient, High- Performance Key-Value Store SOSP 11 Presented by Fan Ni March, 2016 SILT is Small Index Large Tables which is a memory efficient high performance key value store system

More information

Couture: Tailoring STT-MRAM for Persistent Main Memory. Mustafa M Shihab Jie Zhang Shuwen Gao Joseph Callenes-Sloan Myoungsoo Jung

Couture: Tailoring STT-MRAM for Persistent Main Memory. Mustafa M Shihab Jie Zhang Shuwen Gao Joseph Callenes-Sloan Myoungsoo Jung Couture: Tailoring STT-MRAM for Persistent Main Memory Mustafa M Shihab Jie Zhang Shuwen Gao Joseph Callenes-Sloan Myoungsoo Jung Executive Summary Motivation: DRAM plays an instrumental role in modern

More information

Hash Table Design and Optimization for Software Virtual Switches

Hash Table Design and Optimization for Software Virtual Switches Hash Table Design and Optimization for Software Virtual Switches P R E S E N T E R : R E N WA N G Y I P E N G WA N G, S A M E H G O B R I E L, R E N WA N G, C H A R L I E TA I, C R I S T I A N D U M I

More information

Endurable Transient Inconsistency in Byte- Addressable Persistent B+-Tree

Endurable Transient Inconsistency in Byte- Addressable Persistent B+-Tree Endurable Transient Inconsistency in Byte- Addressable Persistent B+-Tree Deukyeon Hwang and Wook-Hee Kim, UNIST; Youjip Won, Hanyang University; Beomseok Nam, UNIST https://www.usenix.org/conference/fast18/presentation/hwang

More information

Chapter 5 Hashing. Introduction. Hashing. Hashing Functions. hashing performs basic operations, such as insertion,

Chapter 5 Hashing. Introduction. Hashing. Hashing Functions. hashing performs basic operations, such as insertion, Introduction Chapter 5 Hashing hashing performs basic operations, such as insertion, deletion, and finds in average time 2 Hashing a hash table is merely an of some fixed size hashing converts into locations

More information

Redrawing the Boundary Between So3ware and Storage for Fast Non- Vola;le Memories

Redrawing the Boundary Between So3ware and Storage for Fast Non- Vola;le Memories Redrawing the Boundary Between So3ware and Storage for Fast Non- Vola;le Memories Steven Swanson Director, Non- Vola;le System Laboratory Computer Science and Engineering University of California, San

More information

COMPUTER ARCHITECTURES

COMPUTER ARCHITECTURES COMPUTER ARCHITECTURES Random Access Memory Technologies Gábor Horváth BUTE Department of Networked Systems and Services ghorvath@hit.bme.hu Budapest, 2019. 02. 24. Department of Networked Systems and

More information

Consensus for Non-Volatile Main Memory

Consensus for Non-Volatile Main Memory 1 Consensus for Non-Volatile Main Memory Huynh Tu Dang, Jaco Hofmann, Yang Liu, Marjan Radi, Dejan Vucinic, Fernando Pedone, and Robert Soulé University of Lugano, TU Darmstadt, and Western Digital 2 Traditional

More information

A Distributed Hash Table for Shared Memory

A Distributed Hash Table for Shared Memory A Distributed Hash Table for Shared Memory Wytse Oortwijn Formal Methods and Tools, University of Twente August 31, 2015 Wytse Oortwijn (Formal Methods and Tools, AUniversity Distributed of Twente) Hash

More information

Module Outline. CPU Memory interaction Organization of memory modules Cache memory Mapping and replacement policies.

Module Outline. CPU Memory interaction Organization of memory modules Cache memory Mapping and replacement policies. M6 Memory Hierarchy Module Outline CPU Memory interaction Organization of memory modules Cache memory Mapping and replacement policies. Events on a Cache Miss Events on a Cache Miss Stall the pipeline.

More information

A New Erasure Pointer Generation Scheme for Storage Class Memory

A New Erasure Pointer Generation Scheme for Storage Class Memory A New Erasure Pointer Generation Scheme for Storage Class Memory Western Digital Mai Ghaly, Chandan Mishra, Majid Nemati, Aldo Cometi Santa Clara, CA August 2018 1 Topics Erasure Soft Erasure Concept for

More information

A Comparison of Performance and Accuracy of Measurement Algorithms in Software

A Comparison of Performance and Accuracy of Measurement Algorithms in Software A Comparison of Performance and Accuracy of Measurement Algorithms in Software Omid Alipourfard, Masoud Moshref 1, Yang Zhou 2, Tong Yang 2, Minlan Yu 3 Yale University, Barefoot Networks 1, Peking University

More information

Empirical Study of Redo and Undo Logging in Persistent Memory

Empirical Study of Redo and Undo Logging in Persistent Memory Empirical Study of Redo and Undo Logging in Persistent Memory Hu Wan, Youyou Lu, Yuanchao Xu, and Jiwu Shu College of Information Engineering, Capital Normal University, Beijing, China Department of Computer

More information

Blurred Persistence in Transactional Persistent Memory

Blurred Persistence in Transactional Persistent Memory Blurred Persistence in Transactional Persistent Memory Youyou Lu, Jiwu Shu, Long Sun Tsinghua University Overview Problem: high performance overhead in ensuring storage consistency of persistent memory

More information

Main Memory Supporting Caches

Main Memory Supporting Caches Main Memory Supporting Caches Use DRAMs for main memory Fixed width (e.g., 1 word) Connected by fixed-width clocked bus Bus clock is typically slower than CPU clock Cache Issues 1 Example cache block read

More information

Tiered-Latency DRAM: A Low Latency and A Low Cost DRAM Architecture

Tiered-Latency DRAM: A Low Latency and A Low Cost DRAM Architecture Tiered-Latency DRAM: A Low Latency and A Low Cost DRAM Architecture Donghyuk Lee, Yoongu Kim, Vivek Seshadri, Jamie Liu, Lavanya Subramanian, Onur Mutlu Carnegie Mellon University HPCA - 2013 Executive

More information

WearDrive: Fast and Energy Efficient Storage for Wearables

WearDrive: Fast and Energy Efficient Storage for Wearables WearDrive: Fast and Energy Efficient Storage for Wearables Reza Shisheie Cleveland State University CIS 601 Wearable Computing: A New Era 2 Wearable Computing: A New Era Notifications Fitness/Healthcare

More information

Loose-Ordering Consistency for Persistent Memory

Loose-Ordering Consistency for Persistent Memory Loose-Ordering Consistency for Persistent Memory Youyou Lu 1, Jiwu Shu 1, Long Sun 1, Onur Mutlu 2 1 Tsinghua University 2 Carnegie Mellon University Summary Problem: Strict write ordering required for

More information

CMSC 341 Hashing (Continued) Based on slides from previous iterations of this course

CMSC 341 Hashing (Continued) Based on slides from previous iterations of this course CMSC 341 Hashing (Continued) Based on slides from previous iterations of this course Today s Topics Review Uses and motivations of hash tables Major concerns with hash tables Properties Hash function Hash

More information

Hash Joins for Multi-core CPUs. Benjamin Wagner

Hash Joins for Multi-core CPUs. Benjamin Wagner Hash Joins for Multi-core CPUs Benjamin Wagner Joins fundamental operator in query processing variety of different algorithms many papers publishing different results main question: is tuning to modern

More information

I/O, Disks, and RAID Yi Shi Fall Xi an Jiaotong University

I/O, Disks, and RAID Yi Shi Fall Xi an Jiaotong University I/O, Disks, and RAID Yi Shi Fall 2017 Xi an Jiaotong University Goals for Today Disks How does a computer system permanently store data? RAID How to make storage both efficient and reliable? 2 What does

More information

Efficient Data Mapping and Buffering Techniques for Multi-Level Cell Phase-Change Memories

Efficient Data Mapping and Buffering Techniques for Multi-Level Cell Phase-Change Memories Efficient Data Mapping and Buffering Techniques for Multi-Level Cell Phase-Change Memories HanBin Yoon, Justin Meza, Naveen Muralimanohar*, Onur Mutlu, Norm Jouppi* Carnegie Mellon University * Hewlett-Packard

More information

Introduction. hashing performs basic operations, such as insertion, better than other ADTs we ve seen so far

Introduction. hashing performs basic operations, such as insertion, better than other ADTs we ve seen so far Chapter 5 Hashing 2 Introduction hashing performs basic operations, such as insertion, deletion, and finds in average time better than other ADTs we ve seen so far 3 Hashing a hash table is merely an hashing

More information

TrafficDB: HERE s High Performance Shared-Memory Data Store Ricardo Fernandes, Piotr Zaczkowski, Bernd Göttler, Conor Ettinoffe, and Anis Moussa

TrafficDB: HERE s High Performance Shared-Memory Data Store Ricardo Fernandes, Piotr Zaczkowski, Bernd Göttler, Conor Ettinoffe, and Anis Moussa TrafficDB: HERE s High Performance Shared-Memory Data Store Ricardo Fernandes, Piotr Zaczkowski, Bernd Göttler, Conor Ettinoffe, and Anis Moussa EPL646: Advanced Topics in Databases Christos Hadjistyllis

More information

Introducing NVDIMM-X: Designed to be the World s Fastest NAND-Based SSD Architecture and a Platform for the Next Generation of New Media SSDs

Introducing NVDIMM-X: Designed to be the World s Fastest NAND-Based SSD Architecture and a Platform for the Next Generation of New Media SSDs , Inc. Introducing NVDIMM-X: Designed to be the World s Fastest NAND-Based SSD Architecture and a Platform for the Next Generation of New Media SSDs Doug Finke Director of Product Marketing September 2016

More information

IX: A Protected Dataplane Operating System for High Throughput and Low Latency

IX: A Protected Dataplane Operating System for High Throughput and Low Latency IX: A Protected Dataplane Operating System for High Throughput and Low Latency Belay, A. et al. Proc. of the 11th USENIX Symp. on OSDI, pp. 49-65, 2014. Reviewed by Chun-Yu and Xinghao Li Summary In this

More information

Leveraging Nonvolatility for Architecture Design with Emerging NVM

Leveraging Nonvolatility for Architecture Design with Emerging NVM Leveraging Nonvolatility for Architecture Design with Emerging NVM Shuangchen Li Ping Chi Jishen Zhao Kwang-Ting Cheng Yuan Xie Dept. of Electrical and Computer Engineering, University of California, Santa

More information

NOVA-Fortis: A Fault-Tolerant Non- Volatile Main Memory File System

NOVA-Fortis: A Fault-Tolerant Non- Volatile Main Memory File System NOVA-Fortis: A Fault-Tolerant Non- Volatile Main Memory File System Jian Andiry Xu, Lu Zhang, Amirsaman Memaripour, Akshatha Gangadharaiah, Amit Borase, Tamires Brito Da Silva, Andy Rudoff (Intel), Steven

More information

GearDB: A GC-free Key-Value Store on HM-SMR Drives with Gear Compaction

GearDB: A GC-free Key-Value Store on HM-SMR Drives with Gear Compaction GearDB: A GC-free Key-Value Store on HM-SMR Drives with Gear Compaction Ting Yao 1,2, Jiguang Wan 1, Ping Huang 2, Yiwen Zhang 1, Zhiwen Liu 1 Changsheng Xie 1, and Xubin He 2 1 Huazhong University of

More information

Amnesic Cache Management for Non-Volatile Memory

Amnesic Cache Management for Non-Volatile Memory Amnesic Cache Management for Non-Volatile Memory Dongwoo Kang, Seungjae Baek, Jongmoo Choi Dankook University, South Korea {kangdw, baeksj, chiojm}@dankook.ac.kr Donghee Lee University of Seoul, South

More information

What You can Do with NVDIMMs. Rob Peglar President, Advanced Computation and Storage LLC

What You can Do with NVDIMMs. Rob Peglar President, Advanced Computation and Storage LLC What You can Do with NVDIMMs Rob Peglar President, Advanced Computation and Storage LLC A Fundamental Change Requires An Ecosystem Windows Server 2016 Windows 10 Pro for Workstations Linux Kernel 4.2 and

More information

SILT: A MEMORY-EFFICIENT, HIGH-PERFORMANCE KEY- VALUE STORE PRESENTED BY PRIYA SRIDHAR

SILT: A MEMORY-EFFICIENT, HIGH-PERFORMANCE KEY- VALUE STORE PRESENTED BY PRIYA SRIDHAR SILT: A MEMORY-EFFICIENT, HIGH-PERFORMANCE KEY- VALUE STORE PRESENTED BY PRIYA SRIDHAR AGENDA INTRODUCTION Why SILT? MOTIVATION SILT KV STORAGE SYSTEM LOW READ AMPLIFICATION CONTROLLABLE WRITE AMPLIFICATION

More information

A Brief Compendium of On Chip Memory Highlighting the Tradeoffs Implementing SRAM,

A Brief Compendium of On Chip Memory Highlighting the Tradeoffs Implementing SRAM, A Brief Compendium of On Chip Memory Highlighting the Tradeoffs Implementing, RAM, or edram Justin Bates Department of Electrical and Computer Engineering University of Central Florida Orlando, FL 3816-36

More information

CS6453. Data-Intensive Systems: Rachit Agarwal. Technology trends, Emerging challenges & opportuni=es

CS6453. Data-Intensive Systems: Rachit Agarwal. Technology trends, Emerging challenges & opportuni=es CS6453 Data-Intensive Systems: Technology trends, Emerging challenges & opportuni=es Rachit Agarwal Slides based on: many many discussions with Ion Stoica, his class, and many industry folks Servers Typical

More information

SOLVING THE DRAM SCALING CHALLENGE: RETHINKING THE INTERFACE BETWEEN CIRCUITS, ARCHITECTURE, AND SYSTEMS

SOLVING THE DRAM SCALING CHALLENGE: RETHINKING THE INTERFACE BETWEEN CIRCUITS, ARCHITECTURE, AND SYSTEMS SOLVING THE DRAM SCALING CHALLENGE: RETHINKING THE INTERFACE BETWEEN CIRCUITS, ARCHITECTURE, AND SYSTEMS Samira Khan MEMORY IN TODAY S SYSTEM Processor DRAM Memory Storage DRAM is critical for performance

More information

A Cost-efficient NVM-based Journaling Scheme for File Systems

A Cost-efficient NVM-based Journaling Scheme for File Systems 2017 IEEE 35th International Conference on Computer Design A Cost-efficient NVM-based Journaling Scheme for File Systems Xiaoyi Zhang, Dan Feng, Yu Hua and Jianxi Chen Wuhan National Lab for Optoelectronics,

More information

Scalable and Secure Internet Services and Architecture PRESENTATION REPORT Semester: Winter 2015 Course: ECE 7650

Scalable and Secure Internet Services and Architecture PRESENTATION REPORT Semester: Winter 2015 Course: ECE 7650 Scalable and Secure Internet Services and Architecture PRESENTATION REPORT Semester: Winter 2015 Course: ECE 7650 SUBMITTED BY: Yashwanth Boddu fq9316@wayne.edu (1) Our base design uses less than 1 byte

More information

Silent Shredder: Zero-Cost Shredding For Secure Non-Volatile Main Memory Controllers

Silent Shredder: Zero-Cost Shredding For Secure Non-Volatile Main Memory Controllers Silent Shredder: Zero-Cost Shredding For Secure Non-Volatile Main Memory Controllers 1 ASPLOS 2016 2-6 th April Amro Awad (NC State University) Pratyusa Manadhata (Hewlett Packard Labs) Yan Solihin (NC

More information

Toward a Memory-centric Architecture

Toward a Memory-centric Architecture Toward a Memory-centric Architecture Martin Fink EVP & Chief Technology Officer Western Digital Corporation August 8, 2017 1 SAFE HARBOR DISCLAIMERS Forward-Looking Statements This presentation contains

More information

Kaladhar Voruganti Senior Technical Director NetApp, CTO Office. 2014, NetApp, All Rights Reserved

Kaladhar Voruganti Senior Technical Director NetApp, CTO Office. 2014, NetApp, All Rights Reserved Kaladhar Voruganti Senior Technical Director NetApp, CTO Office Storage Used to Be Simple DRAM $$$ DISK Nearline TAPE volatile persistent Access Latency 2 Talk Focus: Persistent Memory Design Center DRAM

More information

Non-Volatile Memory Through Customized Key-Value Stores

Non-Volatile Memory Through Customized Key-Value Stores Non-Volatile Memory Through Customized Key-Value Stores Leonardo Mármol 1 Jorge Guerra 2 Marcos K. Aguilera 2 1 Florida International University 2 VMware L. Mármol, J. Guerra, M. K. Aguilera (FIU and VMware)

More information

Operating System Supports for SCM as Main Memory Systems (Focusing on ibuddy)

Operating System Supports for SCM as Main Memory Systems (Focusing on ibuddy) 2011 NVRAMOS Operating System Supports for SCM as Main Memory Systems (Focusing on ibuddy) 2011. 4. 19 Jongmoo Choi http://embedded.dankook.ac.kr/~choijm Contents Overview Motivation Observations Proposal:

More information

u Covered: l Management of CPU & concurrency l Management of main memory & virtual memory u Currently --- Management of I/O devices

u Covered: l Management of CPU & concurrency l Management of main memory & virtual memory u Currently --- Management of I/O devices Where Are We? COS 318: Operating Systems Storage Devices Jaswinder Pal Singh Computer Science Department Princeton University (http://www.cs.princeton.edu/courses/cos318/) u Covered: l Management of CPU

More information

Professor: Pete Keleher! Closures, candidate keys, canonical covers etc! Armstrong axioms!

Professor: Pete Keleher! Closures, candidate keys, canonical covers etc! Armstrong axioms! Professor: Pete Keleher! keleher@cs.umd.edu! } Mechanisms and definitions to work with FDs! Closures, candidate keys, canonical covers etc! Armstrong axioms! } Decompositions! Loss-less decompositions,

More information

3D Xpoint Status and Forecast 2017

3D Xpoint Status and Forecast 2017 3D Xpoint Status and Forecast 2017 Mark Webb MKW 1 Ventures Consulting, LLC Memory Technologies Latency Density Cost HVM ready DRAM ***** *** *** ***** NAND * ***** ***** ***** MRAM ***** * * *** 3DXP

More information

An FPGA-based In-line Accelerator for Memcached

An FPGA-based In-line Accelerator for Memcached An FPGA-based In-line Accelerator for Memcached MAYSAM LAVASANI, HARI ANGEPAT, AND DEREK CHIOU THE UNIVERSITY OF TEXAS AT AUSTIN 1 Challenges for Server Processors Workload changes Social networking Cloud

More information

MemC3: Compact and Concurrent MemCache with Dumber Caching and Smarter Hashing

MemC3: Compact and Concurrent MemCache with Dumber Caching and Smarter Hashing To appear in Proceedings of the 1th USENIX Symposium on Networked Systems Design and Implementation (NSDI 13), Lombard, IL, April 213 MemC3: Compact and Concurrent MemCache with Dumber Caching and Smarter

More information

Database Technology Database Architectures. Heiko Paulheim

Database Technology Database Architectures. Heiko Paulheim Database Technology Database Architectures Today So far, we have treated Database Systems as a black box We can define a schema...and write data into it...and read data from it Today Opening the black

More information