fleximac A MICROSEQUENCER FOR FLEXIBLE PROTOCOL PROCESSING

Size: px
Start display at page:

Download "fleximac A MICROSEQUENCER FOR FLEXIBLE PROTOCOL PROCESSING"

Transcription

1 fleximac A MICROSEQUENCER FOR FLEXIBLE PROTOCOL PROCESSING A Lattice Semiconductor White Paper February 2006 Lattice Semiconductor 5555 Northeast Moore Ct. Hillsboro, Oregon USA Telephone: (503) fleximac: A Microsequencer for Flexible Protocol Processing

2 Introduction FPGAs have undisputed advantages in flexibility, zero NRE costs, faster time to market and in-system programmability. Their relative disadvantages, compared to an ASIC solution, are in cost, performance and power consumption. Traditionally, ASICs have been used for high volume, low cost devices or applications in which very high performance is required. The move to 90nm technologies has greatly increased the performance and density of FPGAs. At the same time, the soaring cost of reticule sets has made ASIC solutions uneconomic in 90nm for anything but very high volume markets. Although this has narrowed the gap in performance, there is still a significant penalty in silicon area and power dissipation when selecting an FPGA solution. To address this problem, FPGA vendors are incorporating increasing amounts of ASIC technology with their programmable logic. Embedded hard cores such as multipliers, processors, transceivers and memories account for about 50% of many high specification FPGAs. However, the decision as to what to embed as a hard core is not always a simple one. For applications not using the ASIC function, this is effectively wasted area and adds to the cost of the FPGA. The fleximac hard core embedded into the LatticeSCM 90nm FPGAs offers all the advantages of highly dense ASIC technology (i.e. low cost, low power and high performance), while retaining the flexibility to address different applications. In essence, the fleximac is a simple packet processor core tailored to address standards that exploit the LatticeSC s high speed serial I/O. Initially, Lattice has developed microcode for 1G Ethernet MAC, 10G Ethernet MAC, PCI Express Data Link layer and Physical layer functions for x1, x2 and x4 lane channels and Advanced Switching Interconnect (ASI) data link layer functions. The heart of the fleximac is a microcoded processor controlling a datapath customized to packet processing functions such as framing, CRC generation and checking, packet parsing, filtering and flow-control. These functions complement the physical layer functions for Serial protocols implemented in the LatticeSC s embedded SERDES and Physical Coding Sublayer (PCS) blocks [1]. The fleximac is implemented in high density ASIC logic of ~50K gates so it occupies only a very small die area and saves up to 10x the silicon area over a LUT based implementation of the same functions. The area saving is greatest for 10Gbps data rates in which data-path functions such as CRC checking need a 64bit datapath width in order to run on the FPGA fabric, making it very resource intensive. For example, in 10G Ethernet mode there is a resource saving of approximately 6K LUTs. 2 fleximac: A Microsequencer for Flexible Protocol Processing

3 10G Ethernet MAC (4 x 6K LUTs) 80K LUT Fabric 16 x SERDES 16 x SERDES FlexiMAC Blocks 40K LUT Fabric 8 x SerDes 8 x SerDes Figure 1-10G Ethernet Cost Reduction via fleximac Figure 1 shows how the fleximac can be used to reduce system cost. In this case a 4 port 10Gbit Ethernet switch is ported from a 60K LUT device with the 10G Ethernet MACs in soft logic, to a 40K device with Ethernet MACs implemented in two fleximacs. The following sections describe the architecture of the fleximac microsequencer. 3 fleximac: A Microsequencer for Flexible Protocol Processing

4 fleximac Architecture Control and Data Multiplexing CRC Generation Shifting Framing Flow Control Tx usequencer Rx usequencer Packet Filtering & Field Stripping CRC Checking De-Framing Parsing Look up Engine Figure 2 - fleximac Architecture Figure 2 shows the architecture of the fleximac packet processor. The fleximac processes both the transmit and the receive path of a given end-node. Any interaction between the transmit and receive path is controlled in the Flow Control block that is responsible for data-link layer flow control behaviour such as sending acknowledgements or pausing the transmission of packets. Both the transmit and the receive sides are controlled by a microcoded microsequencer that is described in more detail later in this white paper. The following two sections describe the behaviour of the transmit and receive paths of the fleximac. 4 fleximac: A Microsequencer for Flexible Protocol Processing

5 Transmit Path data Flow Crl Control & Data Mux Flow Crl data Reg Ctrl 1 Reg Ctrl 2 Reg Ctrl N On the transmit side packets are either transported from a data stream, a flow control block or can be constructed from programmable registers within the Control and Data Multiplexing block. For example, Ethernet PAUSE frames can be constructed in this block or PCI Express Initialization packets defined. The source of the transmitted packets is controlled by the microsequencer. Reg Ctrl 2 CRC Generator Flow Crl data CRC Data CRC Packets from the Control & Data Multiplexing block have the appropriate CRC calculated and appended onto the end of the packet. The CRC polynomial is selected by the microsequencer, depending on the type of packet being transmitted. Reg Ctrl 2 Shifter Framer CRC The Shifter block shifts the data and CRC appropriately so that the packet can be framed and CRC appended in the correct position in the 32-bit data path. For example, Ethernet packets have variable lengths, so the last byte position must be detected and the 32-bit CRC must be shifted to append correctly to the packet. Finally, the Framing block appends or prefixes the appropriate bytes to the packet for framing and inserts Idles or other control characters where appropriate. All this is controlled by the microsequencer and different frame characters can be programmed for alternate standards supported. Different standards are supported by programming registers in the transmit datapath for control packet construction and framing and by creating the appropriate microcode in the microsequencer. A microassembler has been developed to generate microcode images. The function of the transmitter is captured pictorially in Figure 3. Figure 3 -Transmit Framing 5 fleximac: A Microsequencer for Flexible Protocol Processing

6 Parser De-Framer CRC Checker Packet Filltering Parse Field 1 Parse Field 2 Parse Field N Receive Path The receive path has a Parser block that searches each received word for special programmable control characters and reports back to the microcontroller. The microcontroller can select which characters are to be detected on a byte and bit level and also has the ability to look for boolean combinations of matches using a programmable mask. This is used to detect the beginning and end of packets and also special control words such as flow control messages or preambles. Together with the microsequencer, this is used for protocol checking and detection of flow control packets. Errors are flagged if protocol violations are detected and flow control actions are initiated for different protocols. The De-Framer block removes any framing bytes and realigns the data to the word boundary for CRC checking. The CRC checker checks the integrity of the data and CRC and tells the microsequencer if there is an error. The microsequencer selects which CRC polynomial to check. In parallel to the CRC checking is a programmable look-up engine that decides if the packet is to be discarded by accessing programmable registers for both unicast and multicast packets. A hashing function for multicast Ethernet packets can be derived from the CRC checker over the multicast destination address. Finally, the Packet Filtering and Field Stripping engine is used to remove filtered packets and Figure 3 - Receive Path control packets if directed by the microsequencer. It is also used to remove indicated fields such as padding bytes from short Ethernet packets. The function of the transmitter is captured pictorially in Figure 4. 6 fleximac: A Microsequencer for Flexible Protocol Processing

7 All this is controlled by the microsequencer. Different standards are supported by programmable registers in the receive datapath for control packet parsing and lookup and by creating the appropriate microcode. Fleixible Microsequencer Architecture The combination of programmable microcode and registers in the data path implements a highly flexible packet processor capable of data link layer processing for data streams of speeds up to 10Gbps. The high degree of programmability allows changes to be made as standards evolve or problems are found during hardware interoperability testing. It also allows OEMs to customize standards for proprietary protocols across internal interfaces. For example, customers may use special pre-amble characters in Ethernet for configuration of backplanes. Data link layer functions such as CRC checking and generation, framing and packet parsing are highly programmable and microcode could be written to support other packet protocols in the future. Using the fleximac in FPGA Designs The initial release of LatticeSC FPGAs will have multiple integrated fleximacs to support serial protocols running over the embedded SERDES. Initially there will be microcode releases for 1G Ethernet, 10G Ethernet MAC and x1, x2 and x4 PCI Express or Advanced Switching Interface (ASI) support. The following table gives the savings in LUTs over a soft IP version mapped to the FPGA fabric. IP Number LUTs Soft IP Number LUTs with fleximac 10G Ethernet 6K 0K 6K MAC 1G MAC 3K 0K 3K x4 PCI- Express PHY & DLL 7.2K 0.7K 6.5K x2 PCI- Express PHY & DLL X1 PCI- Express PHY & DLL 6.7K 0.7K 6.0K 6.2K 0.7K 5.5K LUT saving with fleximac Table 1 - Resource Usage Saving Using the fleximac 7 fleximac: A Microsequencer for Flexible Protocol Processing

8 Ethernet Implementation For 1G and 10G Ethernet, all MAC functionality is implemented in hard logic. There is a statistics-gathering interface to the FPGA core in which the user can choose which vectors are monitored and the counters should be implemented in FPGA logic. ASIC Logic FPGA Logic FlexiMAC Block PCS Block Transmit Engine Client Interface Flow Control Reconciliation Sublayer GMII or XGMII Interface PCS Receive Engine Management Interface Configuration Optional Statistics Counters Figure 4 - Ethernet MAC + PCS Partitioning The fleximac interfaces to an ASIC PCS block and SERDES via a GMII (1G Ethernet) or XGMII (10G Ethernet), providing a complete hard-core solution for data link and physical layer functions over a serial interface. Barring the LUT usage for statistics counters, the LUT saving over a complete soft logic implementation for Ethernet MACs is given in Table 1. PCI Express Implementation In PCI Express mode the fleximac provides partial data link layer and physical layer functions. The fleximac, together with PCS, SERDES and soft logic, provides a complete data link layer and physical layer solution for x1, x2 and x4 lane PCI Express nodes. 8 fleximac: A Microsequencer for Flexible Protocol Processing

9 ASIC Logic FPGA Logic FlexiMAC Block LTSSM Block PCS Block Transmit Engine Retry Buffer Logic Flow Control Reconciliation Sublayer LTSSM PCS Receive Engine Management Interface Configuration Figure 5 PCI Express Data Link Layer + PCS Partitioning The fleximac hard logic provides the following PCI Express functions in ASIC logic: 1. Framing of TLP and DLLP packets 2. CRC checking and generation for TLP and DLLP packets 3. Flow Control initialization packet for VC-0 by the generation and checking of FC-Init DLLP packets 4. Generation and detection of Ack and Nack DLLP flow-control packets 5. Insertion of SKIP characters The LTSSM Block is a separate block and implements the LTSSM state machines for a x4, x2 or x1 Lane PCI Express link. The PCS provides the following PCI Express Physical Layer functions: 1. 8b/10b encoding and decoding 2. Scrambling 3. Clock compensation logic 4. Comma detection 5. Lane alignment Invoking a PCI Express node in isplever will instantiate both the hard and soft IP logic to give a complete data link layer and physical layer endpoint solution for PCI Express. This gives a considerable resource saving over a soft IP solution for PCI Express, as shown in Table 1. 9 fleximac: A Microsequencer for Flexible Protocol Processing

10 IspLEVER Support Integrating the FlexiMAC into designs is simple using Lattice isplever design tools. FlexiMAC configurations can be selected using a simple GUI interface, and test benches and simulation models can be selected to ease integration into customer designs, saving design time, LUT usage and power. Figure 6 - Instantiating a fleximac 10GE MAC via isplever 10 fleximac: A Microsequencer for Flexible Protocol Processing

11 Figure 7 - Selecting PCS Mode in isplever Conclusion When selecting FPGAs to implement high speed Serial protocols such as 10G Ethernet or PCI Express, LatticeSCM products have hard core devices to support both physical layer functions in the programmable Physical Coding Sublayer PCS (see [1]) and data link layer functions in the fleximac, making them the most efficient programmable solution available today for these protocols. Integrating the PCS or fleximac into designs is easy using the IspLEVER design tool and for designs targeting these ubiquitous protocols provides a significant saving in FPGA logic, power and time to market. 11 fleximac: A Microsequencer for Flexible Protocol Processing

SERIAL MULTI-PROTOCOL TRANSMISSION WITH THE LatticeSC FPGA

SERIAL MULTI-PROTOCOL TRANSMISSION WITH THE LatticeSC FPGA SERIAL MULTI-PROTOCOL TRANSMISSION WITH THE LatticeSC FPGA February 2006 Lattice Semiconductor 5555 Northeast Moore Ct. Hillsboro, Oregon 97124 USA Telephone: (503) 268-8000 www.latticesemi.com 1 Serial

More information

LatticeSC/M Broadcom HiGig+ 12 Gbps Physical Layer Interoperability Over CX-4

LatticeSC/M Broadcom HiGig+ 12 Gbps Physical Layer Interoperability Over CX-4 LatticeSC/M Broadcom HiGig+ 12 Gbps August 2007 Technical Note TN1154 Introduction This technical note describes a physical layer HiGig+ 12 Gbps interoperability test between a LatticeSC/M device and the

More information

LatticeSC/M 2.5GbE Physical/MAC Layer Interoperability Over CX-4

LatticeSC/M 2.5GbE Physical/MAC Layer Interoperability Over CX-4 LatticeSC/M 2.5GbE Physical/MAC Layer Interoperability Over CX-4 October 2007 Technical Note TN1164 Introduction This technical note describes a 1000BASE-X physical/mac layer Gigabit Ethernet (GbE) interoperability

More information

LatticeSCM SPI4.2 Interoperability with PMC-Sierra PM3388

LatticeSCM SPI4.2 Interoperability with PMC-Sierra PM3388 August 2006 Technical Note TN1121 Introduction The System Packet Interface, Level 4, Phase 2 (SPI4.2) is a system level interface, published in 2001 by the Optical Internetworking Forum (OIF), for packet

More information

White Paper. ORSPI4 Field-Programmable System-on-a-Chip Solves Design Challenges for 10 Gbps Line Cards

White Paper. ORSPI4 Field-Programmable System-on-a-Chip Solves Design Challenges for 10 Gbps Line Cards White Paper ORSPI4 Field-Programmable System-on-a-Chip Solves Design Challenges for 10 Gbps Line Cards Sidhartha Mohanty and Fred Koons Lattice Semiconductor Corporation October 2003 Bringing the Best

More information

isplever LatticeSCM Ethernet fleximac MACO Core User s Guide September 2009 ipug48_01.8

isplever LatticeSCM Ethernet fleximac MACO Core User s Guide September 2009 ipug48_01.8 TM isplever CRE September 2009 ipug48_01.8 ntroduction The LatticeSCM Ethernet fleximac MAC P core assists the FPGA designer s efforts by providing pretested, reusable functions that can be easily plugged

More information

LatticeSC/Marvell. XAUI Interoperability. Introduction. XAUI Interoperability

LatticeSC/Marvell. XAUI Interoperability. Introduction. XAUI Interoperability LatticeSC/Marvell XAUI Interoperability November 2006 Introduction Technical Note TN1128 The document provides a report on a XAUI interoperability test between a LatticeSC device and the Marvell 88X2040

More information

1GbEth. Access Switch. Workgroup Switch. 10MbEth. Figure 1: Enterprise LAN Topology Example

1GbEth. Access Switch. Workgroup Switch. 10MbEth. Figure 1: Enterprise LAN Topology Example 1 Introduction Ethernet is available in different speeds (10/100/1000 and 10000Mbps) and provides connectivity to meet a wide range of needs from desktop to switches. MorethanIP IP solutions provide a

More information

Multi-Gigabit Transceivers Getting Started with Xilinx s Rocket I/Os

Multi-Gigabit Transceivers Getting Started with Xilinx s Rocket I/Os Multi-Gigabit Transceivers Getting Started with Xilinx s Rocket I/Os Craig Ulmer cdulmer@sandia.gov July 26, 2007 Craig Ulmer SNL/CA Sandia is a multiprogram laboratory operated by Sandia Corporation,

More information

Extreme Low Latency 10G Ethernet IP Solution Product Brief (HTK-ELL10G-ETH-FPGA)

Extreme Low Latency 10G Ethernet IP Solution Product Brief (HTK-ELL10G-ETH-FPGA) The 10Gbps Extreme Low Latency Ethernet IP solution offers a fully integrated IEEE802.3 compliant package for NIC (Network Interface Card) and Ethernet switching applications. This industry leading extreme

More information

Solving Today s Interface Challenge With Ultra-Low-Density FPGA Bridging Solutions

Solving Today s Interface Challenge With Ultra-Low-Density FPGA Bridging Solutions Solving Today s Interface Challenges With Ultra-Low- Density FPGA Bridging Solutions August 2013 Lattice Semiconductor 5555 Northeast Moore Ct. Hillsboro, Oregon 97124 USA Telephone: (503) 268-8000 www.latticesemi.com

More information

10Gb Ethernet PCS Core

10Gb Ethernet PCS Core July 2002 Features Complete 10Gb Ethernet Physical Coding Sublayer (PCS) Solution Based on the ORCA 10 Gbits/s Line Interface (ORLI10G) FPSC, Enabling Flexible10GbE LAN/WAN Application Solutions. IP Targeted

More information

C H A P T E R GIGABIT ETHERNET PROTOCOL

C H A P T E R GIGABIT ETHERNET PROTOCOL C H A P T E R GIGABIT ETHERNET PROTOCOL 2 39 2.1 Objectives of Research Ethernet protocol has been a robust and dominant technology and it is found to be present on more than 90 percent of all networked

More information

PCI Express Throughput Demo Verilog Source Code User s Guide

PCI Express Throughput Demo Verilog Source Code User s Guide Verilog Source Code User s Guide December 2009 UG07_01.4 Introduction This user s guide provides details of the Verilog code used for the Lattice PCI Express SFIF Demo (also known as the Throughput Demo).

More information

LatticeSC/M Family flexipcs Data Sheet. DS1005 Version 02.0, June 2011

LatticeSC/M Family flexipcs Data Sheet. DS1005 Version 02.0, June 2011 DS1005 Version 02.0, June 2011 Table of Contents June 2011 Introduction to flexipcs... 1-1 flexipcs Features... 1-1 flexipcs Introduction... 1-2 Architecture Overview... 1-2 flexipcs Quad... 1-2 flexipcs

More information

PCI Express Basic Demo Verilog Source Code User s Guide

PCI Express Basic Demo Verilog Source Code User s Guide Verilog Source Code User s Guide December 2010 UG15_01.3 Introduction This user s guide provides details of the Verilog code used for the Lattice. A block diagram of the entire design is provided followed

More information

A (Very Hand-Wavy) Introduction to. PCI-Express. Jonathan Heathcote

A (Very Hand-Wavy) Introduction to. PCI-Express. Jonathan Heathcote A (Very Hand-Wavy) Introduction to PCI-Express Jonathan Heathcote Motivation Six Week Project Before PhD Starts: SpiNNaker Ethernet I/O is Sloooooow How Do You Get Things In/Out of SpiNNaker, Fast? Build

More information

Hardware Design with VHDL PLDs IV ECE 443

Hardware Design with VHDL PLDs IV ECE 443 Embedded Processor Cores (Hard and Soft) Electronic design can be realized in hardware (logic gates/registers) or software (instructions executed on a microprocessor). The trade-off is determined by how

More information

UltraScale Architecture Integrated Block for 100G Ethernet v1.4

UltraScale Architecture Integrated Block for 100G Ethernet v1.4 UltraScale Architecture Integrated Block for 100G Ethernet v1.4 LogiCORE IP Product Guide Vivado Design Suite Table of Contents IP Facts Chapter 1: Overview Feature Summary..................................................................

More information

10GBase-R PCS/PMA Controller Core

10GBase-R PCS/PMA Controller Core 10GBase-R PCS/PMA Controller Core Contents 1 10GBASE-R PCS/PMA DATA SHEET 1 1.1 FEATURES.................................................. 1 1.2 APPLICATIONS................................................

More information

Lattice PCI Express x4 Scatter-Gather DMA Demo Verilog Source Code User s Guide

Lattice PCI Express x4 Scatter-Gather DMA Demo Verilog Source Code User s Guide DMA Demo Verilog Source Code User s Guide January 2008 UG06_01.0 Introduction This user s guide provides details of the Verilog code used for the DMA Demo. A block diagram of the entire design is provided

More information

PUSHING THE LIMITS, A PERSPECTIVE ON ROUTER ARCHITECTURE CHALLENGES

PUSHING THE LIMITS, A PERSPECTIVE ON ROUTER ARCHITECTURE CHALLENGES PUSHING THE LIMITS, A PERSPECTIVE ON ROUTER ARCHITECTURE CHALLENGES Greg Hankins APRICOT 2012 2012 Brocade Communications Systems, Inc. 2012/02/28 Lookup Capacity and Forwarding

More information

Pretty Good Protocol - Design Specification

Pretty Good Protocol - Design Specification Document # Date effective October 23, 2006 Author(s) Ryan Herbst Supersedes Draft Revision 0.02 January 12, 2007 Document Title Pretty Good Protocol - Design Specification CHANGE HISTORY LOG Revision Effective

More information

CPRI IP Core User s Guide

CPRI IP Core User s Guide CPRI IP Core User s Guide September 2010 IPUG56_02.3 Table of Contents Chapter 1. Introduction... 4 Quick Facts... 5 Features... 5 Chapter 2. Functional Description... 7 Block Diagram... 7 General Description...

More information

MANAGING IMAGE DATA IN AUTOMOTIVE INFOTAINMENT APPLICATIONS USING LOW COST PLDS

MANAGING IMAGE DATA IN AUTOMOTIVE INFOTAINMENT APPLICATIONS USING LOW COST PLDS MANAGING IMAGE DATA IN AUTOMOTIVE INFOTAINMENT APPLICATIONS USING LOW COST PLDS August 2011 Lattice Semiconductor 5555 Northeast Moore Ct. Hillsboro, Oregon 97124 USA Telephone: (503) 268-8000 www.latticesemi.com

More information

Integrated Circuit ORB (ICO) White Paper V1.1

Integrated Circuit ORB (ICO) White Paper V1.1 Integrated Circuit (ICO) White Paper V1.1 F. Humcke and D. Paniscotti PrismTech Corporation SYNOPSIS This white paper presents a detailed overview of PrismTech s Integrated Circuit (ICO) and describes

More information

PBL Model Update. Trey Malpass Ye Min Ding Chiwu Zengli. IEEE Higher Speed Study Group Nov HUAWEI TECHNOLOGIES Co., Ltd.

PBL Model Update. Trey Malpass Ye Min Ding Chiwu Zengli. IEEE Higher Speed Study Group Nov HUAWEI TECHNOLOGIES Co., Ltd. Model Update Trey Malpass Ye Min Ding Chiwu Zengli IEEE 802.3 Higher Speed Study Group 12-15 Nov 2007 Contents Page 2 Model Architecture Model Detailed Information Interface Functions Applications 10 x

More information

isplever 1GbE PCS IP Core User s Guide October 2005 ipug28_02.0

isplever 1GbE PCS IP Core User s Guide October 2005 ipug28_02.0 isplever TM CORE 1GbE PCS IP Core User s Guide October 2005 ipug28_02.0 Introduction The 1GbE PCS Intellectual Property (IP) Core targets the programmable array section of the ORCA ORT42G5 device and provides

More information

1GbEth. Access Switch. 1GbEth. Workgroup Switch. 10MbEth. Figure 1: Enterprise LAN Topology Example

1GbEth. Access Switch. 1GbEth. Workgroup Switch. 10MbEth. Figure 1: Enterprise LAN Topology Example 1 Introduction Ethernet is available in different speeds (10 and 100Mbps) and provides connectivity to meet a wide range of needs and from desktop to switches. MorethanIP IP solutions provide a solution

More information

EPoC PHY Link and Auto Negotiation. Ed Boyd, Broadcom Avi Kliger, Broadcom

EPoC PHY Link and Auto Negotiation. Ed Boyd, Broadcom Avi Kliger, Broadcom EPoC PHY Link and Auto Negotiation Ed Boyd, Broadcom Avi Kliger, Broadcom 1 Overview & Goals This presentation continues the PHY Link & Auto Negotiation topic from the technical feasibility presentation

More information

Optimal Management of System Clock Networks

Optimal Management of System Clock Networks Optimal Management of System Networks 2002 Introduction System Management Is More Challenging No Longer One Synchronous per System or Card Must Design Source-Synchronous or CDR Interfaces with Multiple

More information

Low-Cost Serial RapidIO to TI 6482 Digital Signal Processor Interoperability with LatticeECP3

Low-Cost Serial RapidIO to TI 6482 Digital Signal Processor Interoperability with LatticeECP3 October 2010 Introduction Technical Note TN1214 The RapidIO Interconnect Architecture is an industry-standard, packet-based interconnect technology that provides a reliable, high-performance interconnect

More information

40-Gbps and 100-Gbps Ethernet in Altera Devices

40-Gbps and 100-Gbps Ethernet in Altera Devices 40-Gbps and 100-Gbps Ethernet in Altera Devices Transceiver Portfolio Workshops 2009 Agenda 40/100 GbE standards 40/100 GbE IP in Altera devices Stratix IV GT FPGA features and advantages Altera standards

More information

Joint ITU-T/IEEE Workshop on Carrier-class Ethernet

Joint ITU-T/IEEE Workshop on Carrier-class Ethernet Joint ITU-T/IEEE Workshop on Carrier-class Ethernet Proposed 100GE PCS and Electrical Interface Gary Nicholl, Senior Technical Leader Cisco Systems 1 Outline The following slides present a proposal for

More information

POS on ONS Ethernet Cards

POS on ONS Ethernet Cards 20 CHAPTER This chapter describes packet-over-sonet/sdh (POS) and its implementation on ONS Ethernet cards. This chapter contains the following major sections: POS Overview, page 20-1 POS Interoperability,

More information

10 Gigabit XGXS/XAUI PCS Core. 1 Introduction. Product Brief Version April 2005

10 Gigabit XGXS/XAUI PCS Core. 1 Introduction. Product Brief Version April 2005 1 Introduction Initially, network managers use 10 Gigabit Ethernet to provide high-speed, local backbone interconnection between large-capacity switches. 10 Gigabit Ethernet enables Internet Service Providers

More information

CPRI IP Core User s Guide

CPRI IP Core User s Guide CPRI IP Core User s Guide April 2014 IPUG56_02.4 Table of Contents Chapter 1. Introduction... 4 Quick Facts... 5 Features... 5 Chapter 2. Functional Description... 7 Block Diagram... 7 General Description...

More information

Interlaken IP datasheet

Interlaken IP datasheet Key words:interlaken, MAC, PCS, SERDES Abstract:Interlaken MAC/PCS implementation per Interlaken protocol v1.2 All rights reserved Table of Contents 1. Introduction...4 2. Specification...4 3. Architecture...4

More information

Upper Level Protocols (ULP) Mapping. Common Services. Signaling Protocol. Transmission Protocol (Physical Coding) Physical Interface (PI)

Upper Level Protocols (ULP) Mapping. Common Services. Signaling Protocol. Transmission Protocol (Physical Coding) Physical Interface (PI) 1 Introduction The Fibre Channel (FC) is logically a bi-directional point-to-point serial data channel, structured for high performance information transport. Physically, Fibre Channel is an interconnection

More information

Understanding Performance of PCI Express Systems

Understanding Performance of PCI Express Systems White Paper: Virtex-4 and Virtex-5 FPGAs R WP350 (v1.1) September 4, 2008 Understanding Performance of PCI Express Systems By: Alex Goldhammer and John Ayer Jr. PCI Express technology, which is a serialized

More information

POS on ONS Ethernet Cards

POS on ONS Ethernet Cards CHAPTER 23 This chapter describes packet-over-sonet/sdh (POS) and its implementation on ONS Ethernet cards. This chapter contains the following major sections: POS Overview, page 23-1 POS Interoperability,

More information

100GE and 40GE PCS Proposal

100GE and 40GE PCS Proposal 100GE and 0GE PCS Proposal Mark Gustlin, Gary Nicholl, Oded Trainin IEEE HSSG September 2007 Supporters Pete Anslow - Nortel Med Belhadj Cortina Brad Booth AMCC Frank Chang - Vitesse Chris Cole Finisar

More information

10 Gb+ Ethernet MAC IP Core User s Guide

10 Gb+ Ethernet MAC IP Core User s Guide 10 Gb+ Ethernet MAC IP Core User s Guide December 2010 IPUG39_02.9 Table of Contents Chapter 1. Introduction... 4 Quick Facts... 4 Features... 4 Chapter 2. Functional Description... 6 Receive MAC... 8

More information

PHY-Less Ethernet Implementation Using Freescale Power Architecture Based Microprocessors

PHY-Less Ethernet Implementation Using Freescale Power Architecture Based Microprocessors June 24, 2010 PHY-Less Ethernet Implementation Using Freescale Power Architecture Based Microprocessors FTF-NET-F0568 Patrick B. Billings NMG, NPD Applications Engineering and VortiQa are trademarks of

More information

Ethernet Switch. WAN Gateway. Figure 1: Switched LAN Example

Ethernet Switch. WAN Gateway. Figure 1: Switched LAN Example 1 Introduction An Ethernet switch is used to interconnect a number of Ethernet LANs (Local Area Networks), forming a large Ethernet network. Different ports of the switch are connected to different LAN

More information

Recommended Protocol Configurations for Stratix IV GX FPGAs

Recommended Protocol Configurations for Stratix IV GX FPGAs Recommended Protocol s for Stratix IV GX FPGAs AN-577-3.0 Application Note The architecture of the Altera Stratix IV GX FPGA is designed to accommodate the widest range of protocol standards spread over

More information

10-Gbps Ethernet Reference Design

10-Gbps Ethernet Reference Design 10-Gbps Ethernet Reference Design November 2009 AN-516-2.3 Release Information Table 1 provides information about this release of the Altera 10-Gbps Ethernet reference design. Table 1. Release Information

More information

LatticeSC MACO Core LSCDR1X18 Low-Speed Clock and Data Recovery User s Guide

LatticeSC MACO Core LSCDR1X18 Low-Speed Clock and Data Recovery User s Guide Low-Speed Clock and Data Recovery User s Guide January 2008 Technical Note TN1122 Introduction The LatticeSC (low-speed clock and data recovery) MACO core is a fully integrated low-power clock and data

More information

White Paper Low-Cost FPGA Solution for PCI Express Implementation

White Paper Low-Cost FPGA Solution for PCI Express Implementation White Paper Introduction PCI Express is rapidly establishing itself as the successor to PCI, providing higher performance, increased flexibility, and scalability for next-generation systems, as well as

More information

Interlaken Look-Aside Protocol Definition

Interlaken Look-Aside Protocol Definition Interlaken Look-Aside Protocol Definition Contents Terms and Conditions This document has been developed with input from a variety of companies, including members of the Interlaken Alliance, all of which

More information

802.3bj FEC Overview and Status. 1x400G vs 4x100G FEC Implications DRAFT. IEEE P802.3bs 400 Gb/s Ethernet Task Force. Bill Wilkie Xilinx

802.3bj FEC Overview and Status. 1x400G vs 4x100G FEC Implications DRAFT. IEEE P802.3bs 400 Gb/s Ethernet Task Force. Bill Wilkie Xilinx 802.3bj FEC Overview and Status 1x400G vs 4x100G FEC Implications DRAFT IEEE P802.3bs 400 Gb/s Ethernet Task Force July 2015 Hawaii Bill Wilkie Xilinx Introduction This presentation takes a look at the

More information

Core10GMAC v2.0. Handbook

Core10GMAC v2.0. Handbook Core10GMAC v2.0 Handbook Table of Contents Preface... 4 About this Document... 4 Intended Audience... 4 References... 4 Introduction... 5 Overview... 5 Key Features... 5 Core Version... 5 Supported Families...

More information

10-Gbps Ethernet Hardware Demonstration Reference Design

10-Gbps Ethernet Hardware Demonstration Reference Design 10-Gbps Ethernet Hardware Demonstration Reference Design July 2009 AN-588-1.0 Introduction This reference design demonstrates wire-speed operation of the Altera 10-Gbps Ethernet (10GbE) reference design

More information

IN THE FIRST MILE CONSORTIUM. Clause 65 Test Suite v1.1 Technical Document. Last Updated: March 23, :43pm

IN THE FIRST MILE CONSORTIUM. Clause 65 Test Suite v1.1 Technical Document. Last Updated: March 23, :43pm EFM ETHERNET IN THE FIRST MILE CONSORTIUM Technical Document Last Updated: March 23, 2005 12:43pm Ethernet in the First Mile Consortium 121 Technology Drive, Suite 2 InterOperability Laboratory Durham,

More information

LatticeSC flexipcs/serdes Design Guide

LatticeSC flexipcs/serdes Design Guide October 2008 Introduction Technical Note TN1145 This document has been provided to assist the designer in using the flexipcs /SERDES block in the LatticeSC FPGA. The LatticeSC/M Family flexipcs Data Sheet

More information

RHiNET-3/SW: an 80-Gbit/s high-speed network switch for distributed parallel computing

RHiNET-3/SW: an 80-Gbit/s high-speed network switch for distributed parallel computing RHiNET-3/SW: an 0-Gbit/s high-speed network switch for distributed parallel computing S. Nishimura 1, T. Kudoh 2, H. Nishi 2, J. Yamamoto 2, R. Ueno 3, K. Harasawa 4, S. Fukuda 4, Y. Shikichi 4, S. Akutsu

More information

LogiCORE IP Serial RapidIO v5.6

LogiCORE IP Serial RapidIO v5.6 DS696 March 1, 2011 Introduction The LogiCORE IP Serial RapidIO Endpoint solution comprises a highly flexible and optimized Serial RapidIO Physical Layer core and a Logical (I/O) and Transport Layer interface.

More information

Common PMD Interface Hari

Common PMD Interface Hari Common PMD Interface Hari HSSG November, 1999 Kauai, HI jonathan@picolight.com Nov 8, 1999 Page 1 Agenda Introduction Background Assumptions Chief Issues Guiding Decisions Interface cription High Speed

More information

SGMII Interface Implementation Using Soft-CDR Mode of Stratix III Devices

SGMII Interface Implementation Using Soft-CDR Mode of Stratix III Devices SGMII Interface Implementation Using Soft-CDR Mode of Stratix III Devices May 2008, version 1.0 Application Note 518 Introduction Stratix III device family are one of the most architecturally advanced,

More information

Low Latency 40G Ethernet Example Design User Guide

Low Latency 40G Ethernet Example Design User Guide Low Latency 40G Ethernet Example Design User Guide Subscribe UG-20025 101 Innovation Drive San Jose, CA 95134 www.altera.com TOC-2 Contents Quick Start Guide...1-1 Directory Structure... 1-2 Design Components...

More information

Virtex-5 GTP Aurora v2.8

Virtex-5 GTP Aurora v2.8 0 DS538 October 10, 2007 0 0 Introduction The Virtex -5 GTP Aurora core implements the Aurora protocol using the high-speed serial GTP transceivers in Virtex-5 LXT and SXT devices. The core can use up

More information

AN 690: PCI Express DMA Reference Design for Stratix V Devices

AN 690: PCI Express DMA Reference Design for Stratix V Devices AN 690: PCI Express DMA Reference Design for Stratix V Devices an690-1.0 Subscribe The PCI Express Avalon Memory-Mapped (Avalon-MM) DMA Reference Design highlights the performance of the Avalon-MM 256-Bit

More information

SpaceFibre Port IP Core

SpaceFibre Port IP Core The most important thing we build is trust ADVANCED ELECTRONIC SOLUTIONS AVIATION SERVICES COMMUNICATIONS AND CONNECTIVITY MISSION SYSTEMS IP Core TEC-ED & TEC-SW Final Presentation Days 6 7. December

More information

UltraScale Architecture Integrated IP Core for Interlaken v1.3

UltraScale Architecture Integrated IP Core for Interlaken v1.3 UltraScale Architecture Integrated IP Core for Interlaken v1.3 LogiCORE IP Product Guide Vivado Design Suite Table of Contents IP Facts Chapter 1: Overview Feature Summary..................................................................

More information

ARINC 818 on Copper The Successor to HOTLink II Video Links? A White Paper by Jon Alexander

ARINC 818 on Copper The Successor to HOTLink II Video Links? A White Paper by Jon Alexander ARINC 818 on Copper The Successor to HOTLink II Video Links? A White Paper by Jon Alexander GRT s new Matrix ARINC 818 card with High Speed Coax (HSC) Common RG59 coaxial cable with DIN 1.0 / 2.3 connector

More information

Implementing RapidIO. Travis Scheckel and Sandeep Kumar. Communications Infrastructure Group, Texas Instruments

Implementing RapidIO. Travis Scheckel and Sandeep Kumar. Communications Infrastructure Group, Texas Instruments White Paper Implementing RapidIO Travis Scheckel and Sandeep Kumar Communications Infrastructure Group, Texas Instruments In today s telecommunications market, slow and proprietary is not the direction

More information

H-tile Hard IP for Ethernet Intel Stratix 10 FPGA IP Design Example User Guide

H-tile Hard IP for Ethernet Intel Stratix 10 FPGA IP Design Example User Guide H-tile Hard IP for Ethernet Intel Stratix 10 FPGA IP Design Example User Guide Updated for Intel Quartus Prime Design Suite: 18.0 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents

More information

UNIT 4 INTEGRATED CIRCUIT DESIGN METHODOLOGY E5163

UNIT 4 INTEGRATED CIRCUIT DESIGN METHODOLOGY E5163 UNIT 4 INTEGRATED CIRCUIT DESIGN METHODOLOGY E5163 LEARNING OUTCOMES 4.1 DESIGN METHODOLOGY By the end of this unit, student should be able to: 1. Explain the design methodology for integrated circuit.

More information

LogiCORE IP Serial RapidIO Gen2 v1.2

LogiCORE IP Serial RapidIO Gen2 v1.2 LogiCORE IP Serial RapidIO Gen2 v1.2 Product Guide Table of Contents Chapter 1: Overview System Overview............................................................ 5 Applications.................................................................

More information

A 400Gbps Multi-Core Network Processor

A 400Gbps Multi-Core Network Processor A 400Gbps Multi-Core Network Processor James Markevitch, Srinivasa Malladi Cisco Systems August 22, 2017 Legal THE INFORMATION HEREIN IS PROVIDED ON AN AS IS BASIS, WITHOUT ANY WARRANTIES OR REPRESENTATIONS,

More information

SPI-4.2 Interoperability with the Intel IXF1110 in Stratix GX Devices

SPI-4.2 Interoperability with the Intel IXF1110 in Stratix GX Devices SPI-4.2 Interoperability with the Intel IXF1110 in Stratix GX Devices May 2003, ver. 1.0 Application Note 227 Introduction The system packet interface level 4 phase 2 (SPI-4.2) specification, defined by

More information

Virtex-5 FPGA Embedded Tri-Mode Ethernet MAC User Guide. UG194 (v1.7) October 17, 2008

Virtex-5 FPGA Embedded Tri-Mode Ethernet MAC User Guide. UG194 (v1.7) October 17, 2008 Virtex-5 FPGA Embedded Tri-Mode Ethernet MAC User Guide R Xilinx is disclosing this user guide, manual, release note, and/or specification (the Documentation ) to you solely for use in the development

More information

Testing Minimum Inter Frame Gap (IFG)

Testing Minimum Inter Frame Gap (IFG) Testing Minimum Inter Frame Gap (IFG) Rev 4 How to test the minimum IFG spacing. APPLICATION NOTE The Xena testers can verify traffic forwarding performance, protocol scalability and services delivering

More information

NEW APPROACHES TO HARDWARE ACCELERATION USING ULTRA LOW DENSITY FPGAs

NEW APPROACHES TO HARDWARE ACCELERATION USING ULTRA LOW DENSITY FPGAs NEW APPROACHES TO HARDWARE ACCELERATION USING ULTRA LOW DENSITY FPGAs August 2013 Lattice Semiconductor 5555 Northeast Moore Ct. Hillsboro, Oregon 97124 USA Telephone: (503) 268-8000 www.latticesemi.com

More information

Topics. Motivations for Header Header Fields. Header Detail. IEEE Plenary Meeting November 6-9, Harry Peng Allan Pepper

Topics. Motivations for Header Header Fields. Header Detail. IEEE Plenary Meeting November 6-9, Harry Peng Allan Pepper Topics Motivations for Header Header Fields Header Detail 1 Motivations Global uniqueness in Addressing Destination addressing: Spatial reuse Source identification: source removal, learning, debug Handles

More information

Introduction to Ethernet. Guy Hutchison 8/30/2006

Introduction to Ethernet. Guy Hutchison 8/30/2006 Introduction to Ethernet Guy Hutchison 8/30/2006 What is Ethernet? Local area transport protocol Layer 2 of the OSI stack Zero/minimal configuration Low-cost, high performance Best-effort delivery Original

More information

University of New Hampshire InterOperability Laboratory Gigabit Ethernet Consortium

University of New Hampshire InterOperability Laboratory Gigabit Ethernet Consortium University of New Hampshire InterOperability Laboratory Gigabit Ethernet Consortium As of July, 1999 the Gigabit Ethernet Consortium Clause 31 1000BaseX Flow Control Conformance Test Suite version 1.0

More information

UDP10G-IP reference design manual

UDP10G-IP reference design manual UDP10G-IP reference design manual Rev1.2 22-Mar-18 1 Introduction Comparing to TCP, UDP provides a procedure to send messages with a minimum of protocol mechanism, but the data cannot guarantee to arrive

More information

LogiCORE IP AXI Ethernet v6.0

LogiCORE IP AXI Ethernet v6.0 LogiCORE IP AXI Ethernet v6.0 Product Guide for Vivado Design Suite Table of Contents IP Facts Chapter 1: Overview How To Use This Document......................................................... 5 Feature

More information

Peripheral Component Interconnect - Express

Peripheral Component Interconnect - Express PCIe Peripheral Component Interconnect - Express Preceded by PCI and PCI-X But completely different physically Logical configuration separate from the physical configuration Logical configuration is backward

More information

100 Gbps/40 Gbps PCS/PMA + MAC IP Core

100 Gbps/40 Gbps PCS/PMA + MAC IP Core 100 Gbps/40 Gbps PCS/PMA + MAC IP Core Getting started guide: 1. Prerequisites: In order to simulate and implement Aitia's 100 Gbps / 40 Gbps Ethernet PCS/PMA + MAC IP core you must meet the following

More information

USING LOW COST, NON-VOLATILE PLDs IN SYSTEM APPLICATIONS

USING LOW COST, NON-VOLATILE PLDs IN SYSTEM APPLICATIONS USING LOW COST, NON-VOLATILE PLDs IN SYSTEM APPLICATIONS November 2010 Lattice Semiconductor 5555 Northeast Moore Ct. Hillsboro, Oregon 97124 USA Telephone: (503) 268-8000 www.latticesemi.com 1 Using Low

More information

Low Latency 100G Ethernet Intel Stratix 10 FPGA IP Design Example User Guide

Low Latency 100G Ethernet Intel Stratix 10 FPGA IP Design Example User Guide Low Latency 100G Ethernet Intel Stratix 10 FPGA IP Design Example User Guide Updated for Intel Quartus Prime Design Suite: 18.0 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents

More information

The S6000 Family of Processors

The S6000 Family of Processors The S6000 Family of Processors Today s Design Challenges The advent of software configurable processors In recent years, the widespread adoption of digital technologies has revolutionized the way in which

More information

LatticeECP3 and ECP5 10 Gb Ethernet MAC IP Core User Guide

LatticeECP3 and ECP5 10 Gb Ethernet MAC IP Core User Guide LatticeECP3 and ECP5 December 2014 IPUG114_1.0 Table of Contents Chapter 1. Introduction 3... Quick Facts... 3 Features... 3 Chapter 2. Functional Description... 5 Receive MAC... 7 Transmit MAC... 9 Signal

More information

Low Latency 100G Ethernet Design Example User Guide

Low Latency 100G Ethernet Design Example User Guide Low Latency 100G Ethernet Design Example User Guide Updated for Intel Quartus Prime Design Suite: 16.1 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1 Quick Start Guide...

More information

10-Gbps Ethernet Reference Design

10-Gbps Ethernet Reference Design 10-Gbps Ethernet Reference Design February 2009 AN-516-2.0 Release Information Table 1 provides information about this release of the Altera 10-Gbps Ethernet reference design. Table 1. Release Information

More information

The equivalent of XAUI and other architectural considerations in 40G/100G Ethernet

The equivalent of XAUI and other architectural considerations in 40G/100G Ethernet The equivalent of XAUI and other architectural considerations in 40G/100G Ethernet Piers Dawe Avago Technologies May 2008, Munich The equivalent of XAUI and other architectural 1 Contents For those who

More information

Line-rate packet processing in hardware: the evolution towards 400 Gbit/s

Line-rate packet processing in hardware: the evolution towards 400 Gbit/s Proceedings of the 9 th International Conference on Applied Informatics Eger, Hungary, January 29 February 1, 2014. Vol. 1. pp. 259 268 doi: 10.14794/ICAI.9.2014.1.259 Line-rate packet processing in hardware:

More information

WAN-compatible 10 Gigabit Ethernet Tutorial. Opticon Burlingame, CA July 31, 2000

WAN-compatible 10 Gigabit Ethernet Tutorial. Opticon Burlingame, CA July 31, 2000 WAN-compatible 10 Gigabit Ethernet Tutorial Opticon 2000 Burlingame, CA July 31, 2000 Carrier and Service Provider Applications Location A 10GbE Metro Metropolitan Optical Networks Dark Fiber Interconnect

More information

A Single Chip Shared Memory Switch with Twelve 10Gb Ethernet Ports

A Single Chip Shared Memory Switch with Twelve 10Gb Ethernet Ports A Single Chip Shared Memory Switch with Twelve 10Gb Ethernet Ports Takeshi Shimizu, Yukihiro Nakagawa, Sridhar Pathi, Yasushi Umezawa, Takashi Miyoshi, Yoichi Koyanagi, Takeshi Horie, Akira Hattori Hot

More information

Concepts of Serial Communication

Concepts of Serial Communication Section 6. Serial Communication Communication Using Serial Interfaces: UART and SPI Concepts of Serial Communication Limitations of Parallel Bus Clock skew becomes a serious issue for high speed and long

More information

100 GBE AND BEYOND. Diagram courtesy of the CFP MSA Brocade Communications Systems, Inc. v /11/21

100 GBE AND BEYOND. Diagram courtesy of the CFP MSA Brocade Communications Systems, Inc. v /11/21 100 GBE AND BEYOND 2011 Brocade Communications Systems, Inc. Diagram courtesy of the CFP MSA. v1.4 2011/11/21 Current State of the Industry 10 Electrical Fundamental 1 st generation technology constraints

More information

INT Gbit Ethernet MAC Engine

INT Gbit Ethernet MAC Engine intelop INT-10000 10-Gbit Ethernet MAC Product Brief, features and benefits summary Highly customizable hardware IP block. Easily portable to Structured ASIC flow, Custom ASICs/SoCs, Xilinx FPGAs INT-10000

More information

10 Gigabit Ethernet 10GBase-W PHY. 1 Introduction. Product Brief Version May 2005

10 Gigabit Ethernet 10GBase-W PHY. 1 Introduction. Product Brief Version May 2005 1 Introduction Initially, 10 Gigabit Ethernet is used by network managers to provide high-speed, local backbone interconnection between large-capacity switches, as it enables Internet Service Providers

More information

DG0633 Demo Guide IGLOO2 FPGA CoreTSE MAC 1000 Base-T Loopback Demo - Libero SoC v11.7 SP2

DG0633 Demo Guide IGLOO2 FPGA CoreTSE MAC 1000 Base-T Loopback Demo - Libero SoC v11.7 SP2 DG0633 Demo Guide IGLOO2 FPGA CoreTSE MAC 1000 Base-T Loopback Demo - Libero SoC v11.7 SP2 Microsemi Corporate Headquarters One Enterprise, Aliso Viejo, CA 92656 USA Within the USA: +1 (800) 713-4113 Outside

More information

High-Performance Network Data-Packet Classification Using Embedded Content-Addressable Memory

High-Performance Network Data-Packet Classification Using Embedded Content-Addressable Memory High-Performance Network Data-Packet Classification Using Embedded Content-Addressable Memory Embedding a TCAM block along with the rest of the system in a single device should overcome the disadvantages

More information

156.25MHz in Xilinx Virtex6 w/ -2 speed grade

156.25MHz in Xilinx Virtex6 w/ -2 speed grade 10Gb/s and 1Gb/s Ethernet Cores The Ethernet processor handles the break-down and analysis of incoming packets and the generation of outgoing packets. It handles the Physical (10Gb or 1Gb SFP+), Link (Ethernet,

More information

Universal Serial Bus Host Interface on an FPGA

Universal Serial Bus Host Interface on an FPGA Universal Serial Bus Host Interface on an FPGA Application Note For many years, designers have yearned for a general-purpose, high-performance serial communication protocol. The RS-232 and its derivatives

More information

UNIVERSAL VERIFICATION METHODOLOGY BASED VERIFICATION ENVIRONMENT FOR PCIE DATA LINK LAYER

UNIVERSAL VERIFICATION METHODOLOGY BASED VERIFICATION ENVIRONMENT FOR PCIE DATA LINK LAYER UNIVERSAL VERIFICATION METHODOLOGY BASED VERIFICATION ENVIRONMENT FOR PCIE DATA LINK LAYER Dr.T.C.Thanuja [1], Akshata [2] Professor, Dept. of VLSI Design & Embedded systems, VTU, Belagavi, Karnataka,

More information