Cross Clock-Domain TDM Virtual Circuits for Networks on Chips

Size: px
Start display at page:

Download "Cross Clock-Domain TDM Virtual Circuits for Networks on Chips"

Transcription

1 Cross Clock-Domain TDM Virtual Circuits for Networks on Chips Zhonghai Lu Dept. of Electronic Systems School for Information and Communication Technology KTH - Royal Institute of Technology, Stockholm May 4, th Symposium on NoCs (NoCS 11) Cross Clock-Domain TDM Virtual Circuits May 4, Pittsburgh, USA 1 / 20

2 Outline: The PARC structure 1 Problem 2 Approach 3 Result 4 Conclusion 5th Symposium on NoCs (NoCS 11) Cross Clock-Domain TDM Virtual Circuits May 4, Pittsburgh, USA 2 / 20

3 Outline: The PARC structure 1 Problem 2 Approach 3 Result 4 Conclusion 5th Symposium on NoCs (NoCS 11) Cross Clock-Domain TDM Virtual Circuits May 4, Pittsburgh, USA 2 / 20

4 Outline: The PARC structure 1 Problem 2 Approach 3 Result 4 Conclusion 5th Symposium on NoCs (NoCS 11) Cross Clock-Domain TDM Virtual Circuits May 4, Pittsburgh, USA 2 / 20

5 Outline: The PARC structure 1 Problem 2 Approach 3 Result 4 Conclusion 5th Symposium on NoCs (NoCS 11) Cross Clock-Domain TDM Virtual Circuits May 4, Pittsburgh, USA 2 / 20

6 Problem Cross Clock-Domain QoS Quality-of-Service (QoS): A key challenge for NoCs QoS communication: Provide performance (delay and throughput) guarantees under worst-case conditions. Complications Non-deterministic network contention for shared buffers, crossbars, and links. An advanced SoC typically encompasses many clock domains to manage the clocking complexity and increase power efficiency. 5th Symposium on NoCs (NoCS 11) Cross Clock-Domain TDM Virtual Circuits May 4, Pittsburgh, USA 3 / 20

7 Problem Cross Clock-Domain QoS Quality-of-Service (QoS): A key challenge for NoCs QoS communication: Provide performance (delay and throughput) guarantees under worst-case conditions. Complications Non-deterministic network contention for shared buffers, crossbars, and links. An advanced SoC typically encompasses many clock domains to manage the clocking complexity and increase power efficiency. 5th Symposium on NoCs (NoCS 11) Cross Clock-Domain TDM Virtual Circuits May 4, Pittsburgh, USA 3 / 20

8 Problem Cross Clock-Domain QoS Quality-of-Service (QoS): A key challenge for NoCs QoS communication: Provide performance (delay and throughput) guarantees under worst-case conditions. Complications Non-deterministic network contention for shared buffers, crossbars, and links. An advanced SoC typically encompasses many clock domains to manage the clocking complexity and increase power efficiency. 5th Symposium on NoCs (NoCS 11) Cross Clock-Domain TDM Virtual Circuits May 4, Pittsburgh, USA 3 / 20

9 Problem Cross Clock-Domain QoS Related Work A. Hansson, M. Subburaman, and K. Goossens. Aelite: A flit-synchronous network on chip with composable and predictable services. In Proceedings of the Design, Automation and Test in Europe Conference (DATE 09), April Z. Lu and A. Jantsch. TDM virtual-circuit configuration for network-on-chip. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 16(8): , S. Evain, J.-P. Diguet, and D. Houzet. NoC design flow for TDMA and QoS management in a GALS context. EURASIP Journal on Embedded Systems, pages 1 12, th Symposium on NoCs (NoCS 11) Cross Clock-Domain TDM Virtual Circuits May 4, Pittsburgh, USA 4 / 20

10 Divide-and-Conquer Approach Divide: Partition the chip into multiple communicating synchronous regions. GALS communication style A general FIFO domain interface Conquer: Design reuse plus formal performance analysis For each synchronous region, reuse (synchronous) time-division-multiplexing (TDM) virtual circuits (VC); Address cross-domain issues to ensure correct operation; Determine cross-domain delay and backlog bounds. 5th Symposium on NoCs (NoCS 11) Cross Clock-Domain TDM Virtual Circuits May 4, Pittsburgh, USA 5 / 20

11 Divide-and-Conquer Approach Divide: Partition the chip into multiple communicating synchronous regions. GALS communication style A general FIFO domain interface Conquer: Design reuse plus formal performance analysis For each synchronous region, reuse (synchronous) time-division-multiplexing (TDM) virtual circuits (VC); Address cross-domain issues to ensure correct operation; Determine cross-domain delay and backlog bounds. 5th Symposium on NoCs (NoCS 11) Cross Clock-Domain TDM Virtual Circuits May 4, Pittsburgh, USA 5 / 20

12 Divide-and-Conquer Approach Divide: Partition the chip into multiple communicating synchronous regions. GALS communication style A general FIFO domain interface Conquer: Design reuse plus formal performance analysis For each synchronous region, reuse (synchronous) time-division-multiplexing (TDM) virtual circuits (VC); Address cross-domain issues to ensure correct operation; Determine cross-domain delay and backlog bounds. 5th Symposium on NoCs (NoCS 11) Cross Clock-Domain TDM Virtual Circuits May 4, Pittsburgh, USA 5 / 20

13 Divide-and-Conquer Approach Divide: Partition the chip into multiple communicating synchronous regions. GALS communication style A general FIFO domain interface Conquer: Design reuse plus formal performance analysis For each synchronous region, reuse (synchronous) time-division-multiplexing (TDM) virtual circuits (VC); Address cross-domain issues to ensure correct operation; Determine cross-domain delay and backlog bounds. 5th Symposium on NoCs (NoCS 11) Cross Clock-Domain TDM Virtual Circuits May 4, Pittsburgh, USA 5 / 20

14 Divide-and-Conquer Approach Divide: Partition the chip into multiple communicating synchronous regions. GALS communication style A general FIFO domain interface Conquer: Design reuse plus formal performance analysis For each synchronous region, reuse (synchronous) time-division-multiplexing (TDM) virtual circuits (VC); Address cross-domain issues to ensure correct operation; Determine cross-domain delay and backlog bounds. 5th Symposium on NoCs (NoCS 11) Cross Clock-Domain TDM Virtual Circuits May 4, Pittsburgh, USA 5 / 20

15 Divide-and-Conquer Approach Divide: Partition the chip into multiple communicating synchronous regions. GALS communication style A general FIFO domain interface Conquer: Design reuse plus formal performance analysis For each synchronous region, reuse (synchronous) time-division-multiplexing (TDM) virtual circuits (VC); Address cross-domain issues to ensure correct operation; Determine cross-domain delay and backlog bounds. 5th Symposium on NoCs (NoCS 11) Cross Clock-Domain TDM Virtual Circuits May 4, Pittsburgh, USA 5 / 20

16 Divide-and-Conquer Approach Divide: Partition the chip into multiple communicating synchronous regions. GALS communication style A general FIFO domain interface Conquer: Design reuse plus formal performance analysis For each synchronous region, reuse (synchronous) time-division-multiplexing (TDM) virtual circuits (VC); Address cross-domain issues to ensure correct operation; Determine cross-domain delay and backlog bounds. 5th Symposium on NoCs (NoCS 11) Cross Clock-Domain TDM Virtual Circuits May 4, Pittsburgh, USA 5 / 20

17 Approach TDM VC A VC is an end-to-end connection which reserves cyclically S out of N time slots to share each passing link bandwidth. D max = D init + D trans = ((N S) + H) T (1) Θ = S N F (2) H: hop count from source to destination; F, T : clock frequency, period. Based on the same notion of time, globally coordinating slot allocation to share link bandwidth in a time-division fashion. Flow on a VC enjoys pipelined, in-order, contention-free delivery, like passing an established circuit. 5th Symposium on NoCs (NoCS 11) Cross Clock-Domain TDM Virtual Circuits May 4, Pittsburgh, USA 6 / 20

18 Approach TDM VC A VC is an end-to-end connection which reserves cyclically S out of N time slots to share each passing link bandwidth. D max = D init + D trans = ((N S) + H) T (1) Θ = S N F (2) H: hop count from source to destination; F, T : clock frequency, period. Based on the same notion of time, globally coordinating slot allocation to share link bandwidth in a time-division fashion. Flow on a VC enjoys pipelined, in-order, contention-free delivery, like passing an established circuit. 5th Symposium on NoCs (NoCS 11) Cross Clock-Domain TDM Virtual Circuits May 4, Pittsburgh, USA 6 / 20

19 Approach TDM VC A VC is an end-to-end connection which reserves cyclically S out of N time slots to share each passing link bandwidth. D max = D init + D trans = ((N S) + H) T (1) Θ = S N F (2) H: hop count from source to destination; F, T : clock frequency, period. Based on the same notion of time, globally coordinating slot allocation to share link bandwidth in a time-division fashion. Flow on a VC enjoys pipelined, in-order, contention-free delivery, like passing an established circuit. 5th Symposium on NoCs (NoCS 11) Cross Clock-Domain TDM Virtual Circuits May 4, Pittsburgh, USA 6 / 20

20 Property and Example Approach TDM VC properties Hard guarantees in delay and throughput Low cost: one buffer per hop Flow composition over shared links An example 5th Symposium on NoCs (NoCS 11) Cross Clock-Domain TDM Virtual Circuits May 4, Pittsburgh, USA 7 / 20

21 Property and Example Approach TDM VC properties Hard guarantees in delay and throughput Low cost: one buffer per hop Flow composition over shared links An example 5th Symposium on NoCs (NoCS 11) Cross Clock-Domain TDM Virtual Circuits May 4, Pittsburgh, USA 7 / 20

22 Property and Example Approach TDM VC properties Hard guarantees in delay and throughput Low cost: one buffer per hop Flow composition over shared links An example 5th Symposium on NoCs (NoCS 11) Cross Clock-Domain TDM Virtual Circuits May 4, Pittsburgh, USA 7 / 20

23 Property and Example Approach TDM VC properties Hard guarantees in delay and throughput Low cost: one buffer per hop Flow composition over shared links An example 5th Symposium on NoCs (NoCS 11) Cross Clock-Domain TDM Virtual Circuits May 4, Pittsburgh, USA 7 / 20

24 Clock domain interface Approach One FIFO, two distinct clocks with fixed-relation frequency One writer, one reader Handshaking protocol. A composite flow may split after crossing the FIFO interface. 5th Symposium on NoCs (NoCS 11) Cross Clock-Domain TDM Virtual Circuits May 4, Pittsburgh, USA 8 / 20

25 Clock domain interface Approach One FIFO, two distinct clocks with fixed-relation frequency One writer, one reader Handshaking protocol. A composite flow may split after crossing the FIFO interface. 5th Symposium on NoCs (NoCS 11) Cross Clock-Domain TDM Virtual Circuits May 4, Pittsburgh, USA 8 / 20

26 Clock domain interface Approach One FIFO, two distinct clocks with fixed-relation frequency One writer, one reader Handshaking protocol. A composite flow may split after crossing the FIFO interface. 5th Symposium on NoCs (NoCS 11) Cross Clock-Domain TDM Virtual Circuits May 4, Pittsburgh, USA 8 / 20

27 Clock domain interface Approach One FIFO, two distinct clocks with fixed-relation frequency One writer, one reader Handshaking protocol. A composite flow may split after crossing the FIFO interface. 5th Symposium on NoCs (NoCS 11) Cross Clock-Domain TDM Virtual Circuits May 4, Pittsburgh, USA 8 / 20

28 Approach Cross domain issues Synchronous TDM VC assumes global synchrony, which does not exist any more with multiple clock domains. Correct operation Sufficient bandwidth reservation Read-write synchronization Event preservation Performance analysis Per-flow cross-domain delay bound Cross-domain backlog bound (FIFO size) 5th Symposium on NoCs (NoCS 11) Cross Clock-Domain TDM Virtual Circuits May 4, Pittsburgh, USA 9 / 20

29 Approach Cross domain issues Synchronous TDM VC assumes global synchrony, which does not exist any more with multiple clock domains. Correct operation Sufficient bandwidth reservation Read-write synchronization Event preservation Performance analysis Per-flow cross-domain delay bound Cross-domain backlog bound (FIFO size) 5th Symposium on NoCs (NoCS 11) Cross Clock-Domain TDM Virtual Circuits May 4, Pittsburgh, USA 9 / 20

30 Approach Cross domain issues Synchronous TDM VC assumes global synchrony, which does not exist any more with multiple clock domains. Correct operation Sufficient bandwidth reservation Read-write synchronization Event preservation Performance analysis Per-flow cross-domain delay bound Cross-domain backlog bound (FIFO size) 5th Symposium on NoCs (NoCS 11) Cross Clock-Domain TDM Virtual Circuits May 4, Pittsburgh, USA 9 / 20

31 Approach Cross domain issues Synchronous TDM VC assumes global synchrony, which does not exist any more with multiple clock domains. Correct operation Sufficient bandwidth reservation Read-write synchronization Event preservation Performance analysis Per-flow cross-domain delay bound Cross-domain backlog bound (FIFO size) 5th Symposium on NoCs (NoCS 11) Cross Clock-Domain TDM Virtual Circuits May 4, Pittsburgh, USA 9 / 20

32 Approach Cross domain issues Synchronous TDM VC assumes global synchrony, which does not exist any more with multiple clock domains. Correct operation Sufficient bandwidth reservation Read-write synchronization Event preservation Performance analysis Per-flow cross-domain delay bound Cross-domain backlog bound (FIFO size) 5th Symposium on NoCs (NoCS 11) Cross Clock-Domain TDM Virtual Circuits May 4, Pittsburgh, USA 9 / 20

33 Approach Cross domain issues Synchronous TDM VC assumes global synchrony, which does not exist any more with multiple clock domains. Correct operation Sufficient bandwidth reservation Read-write synchronization Event preservation Performance analysis Per-flow cross-domain delay bound Cross-domain backlog bound (FIFO size) 5th Symposium on NoCs (NoCS 11) Cross Clock-Domain TDM Virtual Circuits May 4, Pittsburgh, USA 9 / 20

34 Approach Cross domain issues Synchronous TDM VC assumes global synchrony, which does not exist any more with multiple clock domains. Correct operation Sufficient bandwidth reservation Read-write synchronization Event preservation Performance analysis Per-flow cross-domain delay bound Cross-domain backlog bound (FIFO size) 5th Symposium on NoCs (NoCS 11) Cross Clock-Domain TDM Virtual Circuits May 4, Pittsburgh, USA 9 / 20

35 Bandwidth reservation Approach Addressing Cross-Domain Issues Non-decreasing bandwidth reservation r S i N i F i S j N j F j S k N k F k (3) where r: flow rate; {S i N i, S j N j, S k N k }. It will be insufficient if it is changed to r S i N i F i, r S j N j F j, r S k N k F k, as these inequalities can not ensure the preservation of all upstream events at the domain interface. 5th Symposium on NoCs (NoCS 11) Cross Clock-Domain TDM Virtual Circuits May 4, Pittsburgh, USA 10 / 20

36 Bandwidth reservation Approach Addressing Cross-Domain Issues Non-decreasing bandwidth reservation r S i N i F i S j N j F j S k N k F k (3) where r: flow rate; {S i N i, S j N j, S k N k }. It will be insufficient if it is changed to r S i N i F i, r S j N j F j, r S k N k F k, as these inequalities can not ensure the preservation of all upstream events at the domain interface. 5th Symposium on NoCs (NoCS 11) Cross Clock-Domain TDM Virtual Circuits May 4, Pittsburgh, USA 10 / 20

37 Approach Read-write synchronization Addressing Cross-Domain Issues Use blocking-read to align timing mismatch. 3T 1 = 4T 2 WRONG! CORRECT 5th Symposium on NoCs (NoCS 11) Cross Clock-Domain TDM Virtual Circuits May 4, Pittsburgh, USA 11 / 20

38 Approach Addressing Cross-Domain Issues Event preservation Need to transmit all events to preserve timing information crossing the domain boundary. A dummy packet, P d, may be used. WRONG! CORRECT 5th Symposium on NoCs (NoCS 11) Cross Clock-Domain TDM Virtual Circuits May 4, Pittsburgh, USA 12 / 20

39 Approach Analysis of Delay and Buffer Bounds Network calculus Network Calculus is a mathematical framework to derive per-flow worst-case delay and backlog bounds in communication networks. An arrival curve bounds the accumulated amount of traffic of a flow. A service curve describes the minimal service level of a network element. References R. L. Cruz. A calculus for network delay, part I: Network elements in isolation and part II: Network analysis. IEEE Transactions on Information Theory, 37(1), January C.-S. Chang. Performance Guarantees in Communication Networks. Springer Verlag, J. Y. L. Boudec and P. Thiran. Network Calculus: A Theory of Deterministic Queuing Systems for the Internet. Number 2050 in LNCS, Springer Verlag, th Symposium on NoCs (NoCS 11) Cross Clock-Domain TDM Virtual Circuits May 4, Pittsburgh, USA 13 / 20

40 Approach Analysis of Delay and Buffer Bounds Network calculus Network Calculus is a mathematical framework to derive per-flow worst-case delay and backlog bounds in communication networks. An arrival curve bounds the accumulated amount of traffic of a flow. A service curve describes the minimal service level of a network element. References R. L. Cruz. A calculus for network delay, part I: Network elements in isolation and part II: Network analysis. IEEE Transactions on Information Theory, 37(1), January C.-S. Chang. Performance Guarantees in Communication Networks. Springer Verlag, J. Y. L. Boudec and P. Thiran. Network Calculus: A Theory of Deterministic Queuing Systems for the Internet. Number 2050 in LNCS, Springer Verlag, th Symposium on NoCs (NoCS 11) Cross Clock-Domain TDM Virtual Circuits May 4, Pittsburgh, USA 13 / 20

41 Approach Analysis of Delay and Buffer Bounds Network calculus Network Calculus is a mathematical framework to derive per-flow worst-case delay and backlog bounds in communication networks. An arrival curve bounds the accumulated amount of traffic of a flow. A service curve describes the minimal service level of a network element. References R. L. Cruz. A calculus for network delay, part I: Network elements in isolation and part II: Network analysis. IEEE Transactions on Information Theory, 37(1), January C.-S. Chang. Performance Guarantees in Communication Networks. Springer Verlag, J. Y. L. Boudec and P. Thiran. Network Calculus: A Theory of Deterministic Queuing Systems for the Internet. Number 2050 in LNCS, Springer Verlag, th Symposium on NoCs (NoCS 11) Cross Clock-Domain TDM Virtual Circuits May 4, Pittsburgh, USA 13 / 20

42 Approach Analysis of Delay and Buffer Bounds Linear arrival curve, Latency-rate service curve Linear arrival curve: α r,b (t) = rt + b, where r bounds the rate and b limits the burstiness of the flow. Latency-rate server: β R,T (t) = R(t T ) +, where R is the service rate and T the maximum processing delay. flits (t) =rt + b flits (t) =R(t T ) + flits (t) flits (t) traffic (t) (t) b b T + b R b b + rt time T time T time T (a) Arrival Curve (b) Service Curve (c) Delay Bound (d) Backlog Bound time Figure 1: Performance bounds for linear arrival curve and latency-rate service curve. 5th Symposium on NoCs (NoCS 11) Cross Clock-Domain TDM Virtual Circuits May 4, Pittsburgh, USA 14 / 20

43 Result The analytical model Model the composite flow with a linear arrival curve, TSPEC (Traffic SPECification), α (L,p,σ,ρ) (t) = min(l + pt, σ + ρt), characterizing both peak and average traffic behavior. Model the service (reading data out) as a latency-rate server. Apply basic analytic results for delay and buffer bounds. 5th Symposium on NoCs (NoCS 11) Cross Clock-Domain TDM Virtual Circuits May 4, Pittsburgh, USA 15 / 20

44 Result The analytical model Model the composite flow with a linear arrival curve, TSPEC (Traffic SPECification), α (L,p,σ,ρ) (t) = min(l + pt, σ + ρt), characterizing both peak and average traffic behavior. Model the service (reading data out) as a latency-rate server. Apply basic analytic results for delay and buffer bounds. 5th Symposium on NoCs (NoCS 11) Cross Clock-Domain TDM Virtual Circuits May 4, Pittsburgh, USA 15 / 20

45 Result The analytical model Model the composite flow with a linear arrival curve, TSPEC (Traffic SPECification), α (L,p,σ,ρ) (t) = min(l + pt, σ + ρt), characterizing both peak and average traffic behavior. Model the service (reading data out) as a latency-rate server. Apply basic analytic results for delay and buffer bounds. 5th Symposium on NoCs (NoCS 11) Cross Clock-Domain TDM Virtual Circuits May 4, Pittsburgh, USA 15 / 20

46 Result The arrival and service curves Determine parameters for TSPEC parameters, L, p, σ, ρ. n n σ = (N j S i + 1) S i /N j (4) i=1 i=1 n ρ = F j S i /N j (5) i=1 Determine parameters for R (rate), and T max, maximum processing delay. n R = F k S i /N k (6) i=1 n T max = τ 0 + τ = τ 0 + (N k S i )T k (7) i=1 5th Symposium on NoCs (NoCS 11) Cross Clock-Domain TDM Virtual Circuits May 4, Pittsburgh, USA 16 / 20

47 Result The delay and buffer bounds Delay bound Buffer bound D = L + θ(p R)+ R + T max (8) B = σ + ρt max + (θ T max ) + [(p R) + p + ρ] (9) 5th Symposium on NoCs (NoCS 11) Cross Clock-Domain TDM Virtual Circuits May 4, Pittsburgh, USA 17 / 20

48 Result Cross-domain analysis steps 1 Configuration of slot tables 2 Derive cross-domain arrival and service curves 3 Calculate delay and backlog bounds An example is given in the paper. 5th Symposium on NoCs (NoCS 11) Cross Clock-Domain TDM Virtual Circuits May 4, Pittsburgh, USA 18 / 20

49 Conclusion Conclusion With correct operation strategies, TDM VCs can smoothly cross clock domain boundaries, maintaining nice properties in hard delay and throughput guarantees. Network calculus can be applied for cross-domain delay and buffer analysis with ease. Extensions Cover a boundary node with multiple clock domain interfaces Support DVFS 5th Symposium on NoCs (NoCS 11) Cross Clock-Domain TDM Virtual Circuits May 4, Pittsburgh, USA 19 / 20

50 Conclusion Conclusion With correct operation strategies, TDM VCs can smoothly cross clock domain boundaries, maintaining nice properties in hard delay and throughput guarantees. Network calculus can be applied for cross-domain delay and buffer analysis with ease. Extensions Cover a boundary node with multiple clock domain interfaces Support DVFS 5th Symposium on NoCs (NoCS 11) Cross Clock-Domain TDM Virtual Circuits May 4, Pittsburgh, USA 19 / 20

51 Conclusion Conclusion With correct operation strategies, TDM VCs can smoothly cross clock domain boundaries, maintaining nice properties in hard delay and throughput guarantees. Network calculus can be applied for cross-domain delay and buffer analysis with ease. Extensions Cover a boundary node with multiple clock domain interfaces Support DVFS 5th Symposium on NoCs (NoCS 11) Cross Clock-Domain TDM Virtual Circuits May 4, Pittsburgh, USA 19 / 20

52 Conclusion Conclusion With correct operation strategies, TDM VCs can smoothly cross clock domain boundaries, maintaining nice properties in hard delay and throughput guarantees. Network calculus can be applied for cross-domain delay and buffer analysis with ease. Extensions Cover a boundary node with multiple clock domain interfaces Support DVFS 5th Symposium on NoCs (NoCS 11) Cross Clock-Domain TDM Virtual Circuits May 4, Pittsburgh, USA 19 / 20

53 Conclusion Conclusion With correct operation strategies, TDM VCs can smoothly cross clock domain boundaries, maintaining nice properties in hard delay and throughput guarantees. Network calculus can be applied for cross-domain delay and buffer analysis with ease. Extensions Cover a boundary node with multiple clock domain interfaces Support DVFS 5th Symposium on NoCs (NoCS 11) Cross Clock-Domain TDM Virtual Circuits May 4, Pittsburgh, USA 19 / 20

54 Any Questions? Thank you! 5th Symposium on NoCs (NoCS 11) Cross Clock-Domain TDM Virtual Circuits May 4, Pittsburgh, USA 20 / 20

A Worst Case Performance Model for TDM Virtual Circuit in NoCs

A Worst Case Performance Model for TDM Virtual Circuit in NoCs A Worst Case Performance Model for TDM Virtual Circuit in NoCs Zhipeng Chen and Axel Jantsch Royal Institute of Technology(KTH), Sweden {zhipeng,axel}@kth.se Abstract. In Network-on-Chip (NoC), Time-Division-Mutiplexing

More information

Dynamic Flow Regulation for IP Integration on Network-on-Chip

Dynamic Flow Regulation for IP Integration on Network-on-Chip Dynamic Flow Regulation for IP Integration on Network-on-Chip Zhonghai Lu and Yi Wang Dept. of Electronic Systems KTH Royal Institute of Technology Stockholm, Sweden Agenda The IP integration problem Why

More information

Real Time NoC Based Pipelined Architectonics With Efficient TDM Schema

Real Time NoC Based Pipelined Architectonics With Efficient TDM Schema Real Time NoC Based Pipelined Architectonics With Efficient TDM Schema [1] Laila A, [2] Ajeesh R V [1] PG Student [VLSI & ES] [2] Assistant professor, Department of ECE, TKM Institute of Technology, Kollam

More information

Network Calculus: A Comparison

Network Calculus: A Comparison Time-Division Multiplexing vs Network Calculus: A Comparison Wolfgang Puffitsch, Rasmus Bo Sørensen, Martin Schoeberl RTNS 15, Lille, France Motivation Modern multiprocessors use networks-on-chip Congestion

More information

Application of Network Calculus to the TSN Problem Space

Application of Network Calculus to the TSN Problem Space Application of Network Calculus to the TSN Problem Space Jean Yves Le Boudec 1,2,3 EPFL IEEE 802.1 Interim Meeting 22 27 January 2018 1 https://people.epfl.ch/105633/research 2 http://smartgrid.epfl.ch

More information

Quality-of-Service for a High-Radix Switch

Quality-of-Service for a High-Radix Switch Quality-of-Service for a High-Radix Switch Nilmini Abeyratne, Supreet Jeloka, Yiping Kang, David Blaauw, Ronald G. Dreslinski, Reetuparna Das, and Trevor Mudge University of Michigan 51 st DAC 06/05/2014

More information

Formal Modeling and Analysis of Stream Processing Systems

Formal Modeling and Analysis of Stream Processing Systems Formal Modeling and Analysis of Stream Processing Systems (cont.) Linh T.X. Phan March 2009 Computer and Information Science University of Pennsylvania 1 Previous Lecture General concepts of the performance

More information

Efficient Latency Guarantees for Mixed-criticality Networks-on-Chip

Efficient Latency Guarantees for Mixed-criticality Networks-on-Chip Platzhalter für Bild, Bild auf Titelfolie hinter das Logo einsetzen Efficient Latency Guarantees for Mixed-criticality Networks-on-Chip Sebastian Tobuschat, Rolf Ernst IDA, TU Braunschweig, Germany 18.

More information

Efficient Throughput-Guarantees for Latency-Sensitive Networks-On-Chip

Efficient Throughput-Guarantees for Latency-Sensitive Networks-On-Chip ASP-DAC 2010 20 Jan 2010 Session 6C Efficient Throughput-Guarantees for Latency-Sensitive Networks-On-Chip Jonas Diemer, Rolf Ernst TU Braunschweig, Germany diemer@ida.ing.tu-bs.de Michael Kauschke Intel,

More information

Network Model for Delay-Sensitive Traffic

Network Model for Delay-Sensitive Traffic Traffic Scheduling Network Model for Delay-Sensitive Traffic Source Switch Switch Destination Flow Shaper Policer (optional) Scheduler + optional shaper Policer (optional) Scheduler + optional shaper cfla.

More information

Nested QoS: Providing Flexible Performance in Shared IO Environment

Nested QoS: Providing Flexible Performance in Shared IO Environment Nested QoS: Providing Flexible Performance in Shared IO Environment Hui Wang Peter Varman hw5@rice.edu pjv@rice.edu Rice University, USA Abstract The increasing popularity of storage and server consolidation

More information

Fuzzy Flow Regulation for Network-on-Chip based Chip Multiprocessor System

Fuzzy Flow Regulation for Network-on-Chip based Chip Multiprocessor System Fuzzy Flow egulation for Network-on-Chip based Chip Multiprocessor System Yuan Yao and Zhonghai Lu KTH oyal Institute of Technology, Stockholm 14 th AS-DAC Conference 19-23, January, 2014, Singapore Outline

More information

Formal Modeling and Analysis of Stream Processing Systems

Formal Modeling and Analysis of Stream Processing Systems Formal Modeling and Analysis of Stream Processing Systems Linh T.X. Phan March 2009 Computer and Information Science University of Pennsylvania 1 High performance Highly optimized requirements systems

More information

Connection-oriented Multicasting in Wormhole-switched Networks on Chip

Connection-oriented Multicasting in Wormhole-switched Networks on Chip Connection-oriented Multicasting in Wormhole-switched Networks on Chip Zhonghai Lu, Bei Yin and Axel Jantsch Laboratory of Electronics and Computer Systems Royal Institute of Technology, Sweden fzhonghai,axelg@imit.kth.se,

More information

A Reconfigurable Crossbar Switch with Adaptive Bandwidth Control for Networks-on

A Reconfigurable Crossbar Switch with Adaptive Bandwidth Control for Networks-on A Reconfigurable Crossbar Switch with Adaptive Bandwidth Control for Networks-on on-chip Donghyun Kim, Kangmin Lee, Se-joong Lee and Hoi-Jun Yoo Semiconductor System Laboratory, Dept. of EECS, Korea Advanced

More information

Trade Offs in the Design of a Router with Both Guaranteed and Best-Effort Services for Networks on Chip

Trade Offs in the Design of a Router with Both Guaranteed and Best-Effort Services for Networks on Chip Trade Offs in the Design of a Router with Both Guaranteed and BestEffort Services for Networks on Chip E. Rijpkema, K. Goossens, A. R dulescu, J. Dielissen, J. van Meerbergen, P. Wielage, and E. Waterlander

More information

Networks on Chip. Axel Jantsch. November 24, Royal Institute of Technology, Stockholm

Networks on Chip. Axel Jantsch. November 24, Royal Institute of Technology, Stockholm Networks on Chip Axel Jantsch Royal Institute of Technology, Stockholm November 24, 2004 Network on Chip Seminar, Linköping, November 25, 2004 Networks on Chip 1 Overview NoC as Future SoC Platforms What

More information

Stream Sessions: Stochastic Analysis

Stream Sessions: Stochastic Analysis Stream Sessions: Stochastic Analysis Hongwei Zhang http://www.cs.wayne.edu/~hzhang Acknowledgement: this lecture is partially based on the slides of Dr. D. Manjunath and Dr. Kumar Outline Loose bounds

More information

The Nostrum Network on Chip

The Nostrum Network on Chip The Nostrum Network on Chip 10 processors 10 processors Mikael Millberg, Erland Nilsson, Richard Thid, Johnny Öberg, Zhonghai Lu, Axel Jantsch Royal Institute of Technology, Stockholm November 24, 2004

More information

BROADBAND AND HIGH SPEED NETWORKS

BROADBAND AND HIGH SPEED NETWORKS BROADBAND AND HIGH SPEED NETWORKS SWITCHING A switch is a mechanism that allows us to interconnect links to form a larger network. A switch is a multi-input, multi-output device, which transfers packets

More information

Performance of Multihop Communications Using Logical Topologies on Optical Torus Networks

Performance of Multihop Communications Using Logical Topologies on Optical Torus Networks Performance of Multihop Communications Using Logical Topologies on Optical Torus Networks X. Yuan, R. Melhem and R. Gupta Department of Computer Science University of Pittsburgh Pittsburgh, PA 156 fxyuan,

More information

SoC Design. Prof. Dr. Christophe Bobda Institut für Informatik Lehrstuhl für Technische Informatik

SoC Design. Prof. Dr. Christophe Bobda Institut für Informatik Lehrstuhl für Technische Informatik SoC Design Prof. Dr. Christophe Bobda Institut für Informatik Lehrstuhl für Technische Informatik Chapter 5 On-Chip Communication Outline 1. Introduction 2. Shared media 3. Switched media 4. Network on

More information

Composable Resource Sharing Based on Latency-Rate Servers

Composable Resource Sharing Based on Latency-Rate Servers Composable Resource Sharing Based on Latency-Rate Servers Benny Akesson 1, Andreas Hansson 1, Kees Goossens 2,3 1 Eindhoven University of Technology 2 NXP Semiconductors Research 3 Delft University of

More information

Routing Algorithms, Process Model for Quality of Services (QoS) and Architectures for Two-Dimensional 4 4 Mesh Topology Network-on-Chip

Routing Algorithms, Process Model for Quality of Services (QoS) and Architectures for Two-Dimensional 4 4 Mesh Topology Network-on-Chip Routing Algorithms, Process Model for Quality of Services (QoS) and Architectures for Two-Dimensional 4 4 Mesh Topology Network-on-Chip Nauman Jalil, Adnan Qureshi, Furqan Khan, and Sohaib Ayyaz Qazi Abstract

More information

Design Space Exploration of Network Processor Architectures

Design Space Exploration of Network Processor Architectures Design Space Exploration of Network Processor Architectures ECE 697J December 3 rd, 2002 ECE 697J 1 Introduction Network processor architectures have many choices Number of processors Size of memory Type

More information

The Design and Implementation of a Low-Latency On-Chip Network

The Design and Implementation of a Low-Latency On-Chip Network The Design and Implementation of a Low-Latency On-Chip Network Robert Mullins 11 th Asia and South Pacific Design Automation Conference (ASP-DAC), Jan 24-27 th, 2006, Yokohama, Japan. Introduction Current

More information

QoS Guarantees. Motivation. . link-level level scheduling. Certain applications require minimum level of network performance: Ch 6 in Ross/Kurose

QoS Guarantees. Motivation. . link-level level scheduling. Certain applications require minimum level of network performance: Ch 6 in Ross/Kurose QoS Guarantees. introduction. call admission. traffic specification. link-level level scheduling. call setup protocol. reading: Tannenbaum,, 393-395, 395, 458-471 471 Ch 6 in Ross/Kurose Motivation Certain

More information

A closer look at network structure:

A closer look at network structure: T1: Introduction 1.1 What is computer network? Examples of computer network The Internet Network structure: edge and core 1.2 Why computer networks 1.3 The way networks work 1.4 Performance metrics: Delay,

More information

Basic Switch Organization

Basic Switch Organization NOC Routing 1 Basic Switch Organization 2 Basic Switch Organization Link Controller Used for coordinating the flow of messages across the physical link of two adjacent switches 3 Basic Switch Organization

More information

Generalization of the RIN Result to Heterogeneous Networks of Aggregate Schedulers and Leaky Bucket Constrained Flows

Generalization of the RIN Result to Heterogeneous Networks of Aggregate Schedulers and Leaky Bucket Constrained Flows Generalization of the RIN Result to Heterogeneous Networks of Aggregate Schedulers and Leaky Bucket Constrained Flows Gianluca Rizzo EPFL, CH-1015 Lausanne, Switzerland Email: gianluca.rizzo@epfl.ch Jean-Yves

More information

Impact of Traffic Aggregation on Network Capacity and Quality of Service

Impact of Traffic Aggregation on Network Capacity and Quality of Service Impact of Traffic Aggregation on Network Capacity and Quality of Service Towela Nyirenda-Jere (towela@ittc.ukans.edu) Information and Telecommunications Technology Center University of Kansas http:// www.ittc.ukans.edu

More information

Design and Implementation of AXI-based Network-on-Chip Systems for Flow Regulation. Jiayi Zhang September 2009

Design and Implementation of AXI-based Network-on-Chip Systems for Flow Regulation. Jiayi Zhang September 2009 TRITA-ICT-EX-29:157 Master Thesis in Electronic System Design Design and Implementation of AXI-based Network-on-Chip Systems for Flow Regulation Jiayi Zhang September 29 Supervisor: Examiner: Dr. Zhonghai

More information

Flit Synchronous Aelite Network on Chip. Mahesh Balaji Subburaman

Flit Synchronous Aelite Network on Chip. Mahesh Balaji Subburaman Flit Synchronous Aelite Network on Chip Examensarbete utfört i Elektroniksystem vid Tekniska högskolan i Linköping av Mahesh Balaji Subburaman LiTH - ISY - EX -- 08 / 4198 -- SE Linköping 2008 Flit Synchronous

More information

T-Spec Examples for Audio-Video Bridging. Osama Aboul-Magd

T-Spec Examples for Audio-Video Bridging. Osama Aboul-Magd T-Spec Eamples for Audio-Video Bridging Osama Aboul-Magd Source TSPEC for IP Intserv > Five parameters describing the traffic, p, r, b, m, M. > Peak rate, p measured in bytes of IP datagram per second

More information

A Router Architecture for Connection-Oriented Service Guarantees in the MANGO Clockless Network-on-Chip

A Router Architecture for Connection-Oriented Service Guarantees in the MANGO Clockless Network-on-Chip A Architecture for Connection-Oriented Service Guarantees in the MANGO Clockless -on-chip Tobias Bjerregaard and Jens Sparsø Informatics and Mathematical Modelling Technical University of Denmark (DTU),

More information

Real-Time Mixed-Criticality Wormhole Networks

Real-Time Mixed-Criticality Wormhole Networks eal-time Mixed-Criticality Wormhole Networks Leandro Soares Indrusiak eal-time Systems Group Department of Computer Science University of York United Kingdom eal-time Systems Group 1 Outline Wormhole Networks

More information

Designing Energy-Efficient NoC for Real-Time Embedded Systems through Slack Optimization

Designing Energy-Efficient NoC for Real-Time Embedded Systems through Slack Optimization Designing Energy-Efficient NoC for Real-Time Embedded Systems through Slack Optimization ABSTRACT Jia Zhan *, Nikolay Stoimenov, Jin Ouyang, Lothar Thiele, Vijaykrishnan Narayanan *, Yuan Xie *, * The

More information

Deadlock-free XY-YX router for on-chip interconnection network

Deadlock-free XY-YX router for on-chip interconnection network LETTER IEICE Electronics Express, Vol.10, No.20, 1 5 Deadlock-free XY-YX router for on-chip interconnection network Yeong Seob Jeong and Seung Eun Lee a) Dept of Electronic Engineering Seoul National Univ

More information

A Statically Scheduled Time- Division-Multiplexed Networkon-Chip for Real-Time Systems

A Statically Scheduled Time- Division-Multiplexed Networkon-Chip for Real-Time Systems A Statically Scheduled Time- Division-Multiplexed Networkon-Chip for Real-Time Systems Martin Schoeberl, Florian Brandner, Jens Sparsø, Evangelia Kasapaki Technical University of Denamrk 1 Real-Time Systems

More information

Design of Router Architecture Based on Wormhole Switching Mode for NoC

Design of Router Architecture Based on Wormhole Switching Mode for NoC International Journal of Scientific & Engineering Research Volume 3, Issue 3, March-2012 1 Design of Router Architecture Based on Wormhole Switching Mode for NoC L.Rooban, S.Dhananjeyan Abstract - Network

More information

Flow Control can be viewed as a problem of

Flow Control can be viewed as a problem of NOC Flow Control 1 Flow Control Flow Control determines how the resources of a network, such as channel bandwidth and buffer capacity are allocated to packets traversing a network Goal is to use resources

More information

Interconnection Networks: Flow Control. Prof. Natalie Enright Jerger

Interconnection Networks: Flow Control. Prof. Natalie Enright Jerger Interconnection Networks: Flow Control Prof. Natalie Enright Jerger Switching/Flow Control Overview Topology: determines connectivity of network Routing: determines paths through network Flow Control:

More information

A Thermal-aware Application specific Routing Algorithm for Network-on-chip Design

A Thermal-aware Application specific Routing Algorithm for Network-on-chip Design A Thermal-aware Application specific Routing Algorithm for Network-on-chip Design Zhi-Liang Qian and Chi-Ying Tsui VLSI Research Laboratory Department of Electronic and Computer Engineering The Hong Kong

More information

SoC Design Lecture 13: NoC (Network-on-Chip) Department of Computer Engineering Sharif University of Technology

SoC Design Lecture 13: NoC (Network-on-Chip) Department of Computer Engineering Sharif University of Technology SoC Design Lecture 13: NoC (Network-on-Chip) Department of Computer Engineering Sharif University of Technology Outline SoC Interconnect NoC Introduction NoC layers Typical NoC Router NoC Issues Switching

More information

BROADBAND AND HIGH SPEED NETWORKS

BROADBAND AND HIGH SPEED NETWORKS BROADBAND AND HIGH SPEED NETWORKS ATM SWITCHING ATM is a connection-oriented transport concept An end-to-end connection (virtual channel) established prior to transfer of cells Signaling used for connection

More information

Transaction Level Model Simulator for NoC-based MPSoC Platform

Transaction Level Model Simulator for NoC-based MPSoC Platform Proceedings of the 6th WSEAS International Conference on Instrumentation, Measurement, Circuits & Systems, Hangzhou, China, April 15-17, 27 17 Transaction Level Model Simulator for NoC-based MPSoC Platform

More information

Network-on-Chip Micro-Benchmarks

Network-on-Chip Micro-Benchmarks Network-on-Chip Micro-Benchmarks Zhonghai Lu *, Axel Jantsch *, Erno Salminen and Cristian Grecu * Royal Institute of Technology, Sweden Tampere University of Technology, Finland Abstract University of

More information

Nested QoS: Providing Flexible Performance in Shared IO Environment

Nested QoS: Providing Flexible Performance in Shared IO Environment Nested QoS: Providing Flexible Performance in Shared IO Environment Hui Wang Peter Varman Rice University Houston, TX 1 Outline Introduction System model Analysis Evaluation Conclusions and future work

More information

High Performance Interconnect and NoC Router Design

High Performance Interconnect and NoC Router Design High Performance Interconnect and NoC Router Design Brinda M M.E Student, Dept. of ECE (VLSI Design) K.Ramakrishnan College of Technology Samayapuram, Trichy 621 112 brinda18th@gmail.com Devipoonguzhali

More information

Advanced Computer Networks

Advanced Computer Networks Advanced Computer Networks QoS in IP networks Prof. Andrzej Duda duda@imag.fr Contents QoS principles Traffic shaping leaky bucket token bucket Scheduling FIFO Fair queueing RED IntServ DiffServ http://duda.imag.fr

More information

Improving QOS in IP Networks. Principles for QOS Guarantees

Improving QOS in IP Networks. Principles for QOS Guarantees Improving QOS in IP Networks Thus far: making the best of best effort Future: next generation Internet with QoS guarantees RSVP: signaling for resource reservations Differentiated Services: differential

More information

Basic Low Level Concepts

Basic Low Level Concepts Course Outline Basic Low Level Concepts Case Studies Operation through multiple switches: Topologies & Routing v Direct, indirect, regular, irregular Formal models and analysis for deadlock and livelock

More information

Implementation of Message Scheduling on TDM Virtual Circuits for Network-on-Chip

Implementation of Message Scheduling on TDM Virtual Circuits for Network-on-Chip Master Thesis KTH/ICT/EC/ 2008-98 Implementation of Message cheduling on TDM Virtual Circuits for Network-on-Chip Master of cience Thesis in Electronic ystem Design by Xinyou Tian tockholm, eptember 2008

More information

Chapter 10. Circuits Switching and Packet Switching 10-1

Chapter 10. Circuits Switching and Packet Switching 10-1 Chapter 10 Circuits Switching and Packet Switching 10-1 Content Switched communication networks Circuit switching networks Circuit-switching concepts Packet-switching principles X.25 (mentioned but not

More information

SERVICE ORIENTED REAL-TIME BUFFER MANAGEMENT FOR QOS ON ADAPTIVE ROUTERS

SERVICE ORIENTED REAL-TIME BUFFER MANAGEMENT FOR QOS ON ADAPTIVE ROUTERS SERVICE ORIENTED REAL-TIME BUFFER MANAGEMENT FOR QOS ON ADAPTIVE ROUTERS 1 SARAVANAN.K, 2 R.M.SURESH 1 Asst.Professor,Department of Information Technology, Velammal Engineering College, Chennai, Tamilnadu,

More information

Chapter - 7. Multiplexing and circuit switches

Chapter - 7. Multiplexing and circuit switches Chapter - 7 Multiplexing and circuit switches Multiplexing Multiplexing is used to combine multiple communication links into a single stream. The aim is to share an expensive resource. For example several

More information

Mapping of Real-time Applications on

Mapping of Real-time Applications on Mapping of Real-time Applications on Network-on-Chip based MPSOCS Paris Mesidis Submitted for the degree of Master of Science (By Research) The University of York, December 2011 Abstract Mapping of real

More information

Page 1. Quality of Service. CS 268: Lecture 13. QoS: DiffServ and IntServ. Three Relevant Factors. Providing Better Service.

Page 1. Quality of Service. CS 268: Lecture 13. QoS: DiffServ and IntServ. Three Relevant Factors. Providing Better Service. Quality of Service CS 268: Lecture 3 QoS: DiffServ and IntServ Ion Stoica Computer Science Division Department of Electrical Engineering and Computer Sciences University of California, Berkeley Berkeley,

More information

HARDWARE IMPLEMENTATION OF PIPELINE BASED ROUTER DESIGN FOR ON- CHIP NETWORK

HARDWARE IMPLEMENTATION OF PIPELINE BASED ROUTER DESIGN FOR ON- CHIP NETWORK DOI: 10.21917/ijct.2012.0092 HARDWARE IMPLEMENTATION OF PIPELINE BASED ROUTER DESIGN FOR ON- CHIP NETWORK U. Saravanakumar 1, R. Rangarajan 2 and K. Rajasekar 3 1,3 Department of Electronics and Communication

More information

A Survey on Asynchronous and Synchronous Network on Chip

A Survey on Asynchronous and Synchronous Network on Chip ISSN : 2230-7109 (Online) ISSN : 2230-9543 (Print) A Survey on Asynchronous and Synchronous on Chip 1 C. Chitra, 2 S. Prabakaran, 3 R. Prabakaran 1,2 Anna University of Technology, Tiruchirappalli, Tamilnadu,

More information

A Scheduling Discipline for Latency and Bandwidth Guarantees in Asynchronous Network-on-Chip

A Scheduling Discipline for Latency and Bandwidth Guarantees in Asynchronous Network-on-Chip A Scheduling Discipline for Latency and Bandwidth Guarantees in Asynchronous Network-on-Chip Tobias Bjerregaard and Jens Sparsø Technical University of Denmark (DTU) Informatics and Mathematical Modelling

More information

Workload Characterization Model for Tasks with Variable Execution Demand

Workload Characterization Model for Tasks with Variable Execution Demand Workload Characterization Model for Tasks with Variable Execution Demand Alexander Maxiaguine Simon Künzli Lothar Thiele Computer Engineering and Networks Laboratory Swiss Federal Institute of Technology

More information

4. Networks. in parallel computers. Advances in Computer Architecture

4. Networks. in parallel computers. Advances in Computer Architecture 4. Networks in parallel computers Advances in Computer Architecture System architectures for parallel computers Control organization Single Instruction stream Multiple Data stream (SIMD) All processors

More information

NoC Simulation in Heterogeneous Architectures for PGAS Programming Model

NoC Simulation in Heterogeneous Architectures for PGAS Programming Model NoC Simulation in Heterogeneous Architectures for PGAS Programming Model Sascha Roloff, Andreas Weichslgartner, Frank Hannig, Jürgen Teich University of Erlangen-Nuremberg, Germany Jan Heißwolf Karlsruhe

More information

A Comprehensive Digital Cross Connect (DCS) for Buffered and Unbuffered Switching Applications

A Comprehensive Digital Cross Connect (DCS) for Buffered and Unbuffered Switching Applications I J C T A, 9(17) 2016, pp. 8687-8692 International Science Press A Comprehensive Digital Cross Connect (DCS) for Buffered and Unbuffered Switching Applications Gunjan Thakur *, Mrinal Sarvagya ** and Preeta

More information

Asynchronous Spatial Division Multiplexing Router

Asynchronous Spatial Division Multiplexing Router Asynchronous Spatial Division Multiplexing Router Wei Song and Doug Edwards School of Computer Science, the University of Manchester, Oxford Road, Manchester M13 9PL UK Abstract Asynchronous quasi-delay-insensitive

More information

Design of a Weighted Fair Queueing Cell Scheduler for ATM Networks

Design of a Weighted Fair Queueing Cell Scheduler for ATM Networks Design of a Weighted Fair Queueing Cell Scheduler for ATM Networks Yuhua Chen Jonathan S. Turner Department of Electrical Engineering Department of Computer Science Washington University Washington University

More information

Interconnect IP for Network-on-Chip

Interconnect IP for Network-on-Chip Interconnect IP for Network-on-Chip J. Liu, M. G. Shen, L-R Zheng and H. Tenhunen Labratory of Electronic and Computer Systems Royal Institute of Technology (KTH) Electrum 229, SE 164 40 Kista/Stockholm

More information

048866: Packet Switch Architectures

048866: Packet Switch Architectures 048866: Packet Switch Architectures Output-Queued Switches Deterministic Queueing Analysis Fairness and Delay Guarantees Dr. Isaac Keslassy Electrical Engineering, Technion isaac@ee.technion.ac.il http://comnet.technion.ac.il/~isaac/

More information

UNIVERSITY OF CALIFORNIA, SAN DIEGO. A Simulation of the Service Curve-based Earliest Deadline First Scheduling Discipline

UNIVERSITY OF CALIFORNIA, SAN DIEGO. A Simulation of the Service Curve-based Earliest Deadline First Scheduling Discipline UNIVERSITY OF CALIFORNIA, SAN DIEGO A Simulation of the Service Curve-based Earliest Deadline First Scheduling Discipline A thesis submitted in partial satisfaction of the requirements for the degree Master

More information

Design of network adapter compatible OCP for high-throughput NOC

Design of network adapter compatible OCP for high-throughput NOC Applied Mechanics and Materials Vols. 313-314 (2013) pp 1341-1346 Online available since 2013/Mar/25 at www.scientific.net (2013) Trans Tech Publications, Switzerland doi:10.4028/www.scientific.net/amm.313-314.1341

More information

Efficient real-time SDRAM performance

Efficient real-time SDRAM performance 1 Efficient real-time SDRAM performance Kees Goossens with Benny Akesson, Sven Goossens, Karthik Chandrasekar, Manil Dev Gomony, Tim Kouters, and others Kees Goossens

More information

Network-on-Chip Architecture

Network-on-Chip Architecture Multiple Processor Systems(CMPE-655) Network-on-Chip Architecture Performance aspect and Firefly network architecture By Siva Shankar Chandrasekaran and SreeGowri Shankar Agenda (Enhancing performance)

More information

Interconnection Networks: Topology. Prof. Natalie Enright Jerger

Interconnection Networks: Topology. Prof. Natalie Enright Jerger Interconnection Networks: Topology Prof. Natalie Enright Jerger Topology Overview Definition: determines arrangement of channels and nodes in network Analogous to road map Often first step in network design

More information

Bursty Communication Performance Analysis of Network-on-Chip with Diverse Traffic Permutations

Bursty Communication Performance Analysis of Network-on-Chip with Diverse Traffic Permutations International Journal of Soft Computing and Engineering (IJSCE) Bursty Communication Performance Analysis of Network-on-Chip with Diverse Traffic Permutations Naveen Choudhary Abstract To satisfy the increasing

More information

Designing Predictable Real-Time and Embedded Systems

Designing Predictable Real-Time and Embedded Systems Designing Predictable Real-Time and Embedded Systems Juniorprofessor Dr. Jian-Jia Chen Karlsruhe Institute of Technology (KIT), Germany 0 KIT Feb. University 27-29, 2012 of at thetu-berlin, State of Baden-Wuerttemberg

More information

Lecture 3: Flow-Control

Lecture 3: Flow-Control High-Performance On-Chip Interconnects for Emerging SoCs http://tusharkrishna.ece.gatech.edu/teaching/nocs_acaces17/ ACACES Summer School 2017 Lecture 3: Flow-Control Tushar Krishna Assistant Professor

More information

Standards for NoC: What can we gain?

Standards for NoC: What can we gain? Standards for NoC: What can we gain? Axel Jantsch Royal Institute of Technology, Stockholm March 2006 March 2006 Standards for NoC 1 What Kind of Standards Informal Standards are a set of assumptions shared

More information

Conquering Memory Bandwidth Challenges in High-Performance SoCs

Conquering Memory Bandwidth Challenges in High-Performance SoCs Conquering Memory Bandwidth Challenges in High-Performance SoCs ABSTRACT High end System on Chip (SoC) architectures consist of tens of processing engines. In SoCs targeted at high performance computing

More information

Index 283. F Fault model, 121 FDMA. See Frequency-division multipleaccess

Index 283. F Fault model, 121 FDMA. See Frequency-division multipleaccess Index A Active buffer window (ABW), 34 35, 37, 39, 40 Adaptive data compression, 151 172 Adaptive routing, 26, 100, 114, 116 119, 121 123, 126 128, 135 137, 139, 144, 146, 158 Adaptive voltage scaling,

More information

Master Course Computer Networks IN2097

Master Course Computer Networks IN2097 Chair for Network Architectures and Services Prof. Carle Department for Computer Science TU München Chair for Network Architectures and Services Prof. Carle Department for Computer Science TU München Master

More information

Introduction: Two motivating examples for the analytical approach

Introduction: Two motivating examples for the analytical approach Introduction: Two motivating examples for the analytical approach Hongwei Zhang http://www.cs.wayne.edu/~hzhang Acknowledgement: this lecture is partially based on the slides of Dr. D. Manjunath Outline

More information

CCNoC: Specializing On-Chip Interconnects for Energy Efficiency in Cache-Coherent Servers

CCNoC: Specializing On-Chip Interconnects for Energy Efficiency in Cache-Coherent Servers CCNoC: Specializing On-Chip Interconnects for Energy Efficiency in Cache-Coherent Servers Stavros Volos, Ciprian Seiculescu, Boris Grot, Naser Khosro Pour, Babak Falsafi, and Giovanni De Micheli Toward

More information

An Analysis of Blocking vs Non-Blocking Flow Control in On-Chip Networks

An Analysis of Blocking vs Non-Blocking Flow Control in On-Chip Networks An Analysis of Blocking vs Non-Blocking Flow Control in On-Chip Networks ABSTRACT High end System-on-Chip (SoC) architectures consist of tens of processing engines. These processing engines have varied

More information

Master Course Computer Networks IN2097

Master Course Computer Networks IN2097 Chair for Network Architectures and Services Prof. Carle Department for Computer Science TU München Master Course Computer Networks IN2097 Prof. Dr.-Ing. Georg Carle Christian Grothoff, Ph.D. Chair for

More information

Mohammad Hossein Manshaei 1393

Mohammad Hossein Manshaei 1393 Mohammad Hossein Manshaei manshaei@gmail.com 1393 Voice and Video over IP Slides derived from those available on the Web site of the book Computer Networking, by Kurose and Ross, PEARSON 2 Multimedia networking:

More information

Dynamic resource allocation for virtual path connections

Dynamic resource allocation for virtual path connections COST257: traffic theory for the networks of future Dynamic resource allocation for virtual path connections Samuli Aalto Laboratory of Telecommunications Technology Helsinki University of Technology samuli.aalto@hut.fi

More information

Overview Computer Networking What is QoS? Queuing discipline and scheduling. Traffic Enforcement. Integrated services

Overview Computer Networking What is QoS? Queuing discipline and scheduling. Traffic Enforcement. Integrated services Overview 15-441 15-441 Computer Networking 15-641 Lecture 19 Queue Management and Quality of Service Peter Steenkiste Fall 2016 www.cs.cmu.edu/~prs/15-441-f16 What is QoS? Queuing discipline and scheduling

More information

Infrastructure for Autonomous Mobile Robots Communication and Coordination

Infrastructure for Autonomous Mobile Robots Communication and Coordination 90 Work in Progress Session Infrastructure for Autonomous Mobile Robots Communication and Coordination Marcelo M. Sobral, Leandro B. Becker Dept of Automation and Systems Universidade Federal de Santa

More information

Optical Packet Switching

Optical Packet Switching Optical Packet Switching DEISNet Gruppo Reti di Telecomunicazioni http://deisnet.deis.unibo.it WDM Optical Network Legacy Networks Edge Systems WDM Links λ 1 λ 2 λ 3 λ 4 Core Nodes 2 1 Wavelength Routing

More information

Real-Time Protocol (RTP)

Real-Time Protocol (RTP) Real-Time Protocol (RTP) Provides standard packet format for real-time application Typically runs over UDP Specifies header fields below Payload Type: 7 bits, providing 128 possible different types of

More information

THE DELAY COMPOSITION THEOREM ON PIPELINE SYSTEMS WITH NON-PREEMPTIVE PRIORITY VARYING SCHEDULING ALGORITHMS YI LU THESIS

THE DELAY COMPOSITION THEOREM ON PIPELINE SYSTEMS WITH NON-PREEMPTIVE PRIORITY VARYING SCHEDULING ALGORITHMS YI LU THESIS THE DELAY COMPOSITION THEOREM ON PIPELINE SYSTEMS WITH NON-PREEMPTIVE PRIORITY VARYING SCHEDULING ALGORITHMS BY YI LU THESIS Submitted in partial fulfillment of the requirements for the degree of Master

More information

The effect of per-input shapers on the delay bound in networks with aggregate scheduling

The effect of per-input shapers on the delay bound in networks with aggregate scheduling The effect of per-input shapers on the delay bound in networks with aggregate scheduling Evgueni Ossipov and Gunnar Karlsson Department of Microelectronics and Information Technology KTH, Royal Institute

More information

trend: embedded systems Composable Timing and Energy in CompSOC trend: multiple applications on one device problem: design time 3 composability

trend: embedded systems Composable Timing and Energy in CompSOC trend: multiple applications on one device problem: design time 3 composability Eindhoven University of Technology This research is supported by EU grants T-CTEST, Cobra and NL grant NEST. Parts of the platform were developed in COMCAS, Scalopes, TSA, NEVA,

More information

Resource allocation in networks. Resource Allocation in Networks. Resource allocation

Resource allocation in networks. Resource Allocation in Networks. Resource allocation Resource allocation in networks Resource Allocation in Networks Very much like a resource allocation problem in operating systems How is it different? Resources and jobs are different Resources are buffers

More information

On Packet Switched Networks for On-Chip Communication

On Packet Switched Networks for On-Chip Communication On Packet Switched Networks for On-Chip Communication Embedded Systems Group Department of Electronics and Computer Engineering School of Engineering, Jönköping University Jönköping 1 Outline : Part 1

More information

IMPLEMENTATION OF CONGESTION CONTROL MECHANISMS USING OPNET

IMPLEMENTATION OF CONGESTION CONTROL MECHANISMS USING OPNET Nazy Alborz IMPLEMENTATION OF CONGESTION CONTROL MECHANISMS USING OPNET TM Communication Networks Laboratory School of Engineering Science Simon Fraser University Road map Introduction to congestion control

More information

NEW STABILITY RESULTS FOR ADVERSARIAL QUEUING

NEW STABILITY RESULTS FOR ADVERSARIAL QUEUING NEW STABILITY RESULTS FOR ADVERSARIAL QUEUING ZVI LOTKER, BOAZ PATT-SHAMIR, AND ADI ROSÉN Abstract. We consider the model of adversarial queuing theory for packet networks introduced by Borodin et al.

More information

TSN Profile for Network Slicing

TSN Profile for Network Slicing TSN Profile for Network Slicing Tongtong Wang Norman Finn Xinyuan Wang HUAWEI TECHNOLOGIES CO., LTD. Background 5G transport network is generally a multi-service network, which will be shared by 5G services

More information

The Switched Local Area Networks Delay Problem: Issues and a Deterministic Solution Approach

The Switched Local Area Networks Delay Problem: Issues and a Deterministic Solution Approach 47 Chapter 3 The Switched Local Area Networks Delay Problem: Issues and a Deterministic Solution Approach Monday O. Eyinagho Covenant University, Nigeria Samuel O. Falaki Federal University of Technology

More information