LEON3-Fault Tolerant Design Against Radiation Effects ASIC

Size: px
Start display at page:

Download "LEON3-Fault Tolerant Design Against Radiation Effects ASIC"

Transcription

1 LEON3-Fault Tolerant Design Against Radiation Effects ASIC Microelectronic Presentation Days 3 rd Edition 7 March 2007

2 Table of Contents Page 2 Project Overview Context Industrial Organization LEON3-FT IP LEON3-FT ASIC Baseline Design LEON3-FT DARE Architecture IP Cores and Performances ASIC Layout and Manufacturing DARE Cell Library Layout and Manufacturing ASIC Evaluation Testing Electrical Tests Radiation Tests

3 Page 3 Project Overview

4 Context Page 4 ESA ITT AO/1-4912/05/NL/JD dated June 2005 GSTP funding Study objective : To develop, manufacture, test and evaluate an ASIC prototype for space using DARE library and UMC 180 nm technology To enable foundry and assembly services for qualified Flight Models in a commercial deep sub micron technology Proposal Based on high performance SPARC V8 processor using LEON3-FT design Suitable for high-end applications

5 Industrial Organization Page 5 Contractors (B) - Prime Validation and evaluation testing GAISLER RESEARCH (SE) LEON3-FT processor design IMEC (B) Layout generation and DARE library ASIC manufacturing Planning Kick-Off dated end August 2006 Projected duration of 2 years Activities now ongoing for 6 months

6 Page 6 LEON3-FT IP

7 GAISLER RESEARCH and LEON3-FT Page 7 Gaisler Research develops IP-cores for both space and commercial markets, targeting demanding embedded applications. Main VHDL IP-core products are: LEON3-FT SPARC V8 32-bit Integer Unit GRFPU-FT IEEE-754 Floating Point Unit 32-bit / 33 MHz Target/Initiator PCI SpaceWire with DMA and RMAP 10/100/1000 Ethernet MAC MIL-STD-1553, CAN 2.0, CCSDS TM/TC, USB 2.0, IDE-ATA, SVGA Memory controllers with EDAC, DDR, SDRAM, SRAM/PROM The objective is to develop a complex and performant System-on-a- Chip design that challenges and fully demonstrates the capability of the DARE silicon library.

8 ASIC Baseline Design Page 8 The LEONDARE baseline design comprises : LEON3 SPARC V8 32-bit Integer Unit GRFPU-FT IEEE-754 Floating Point Unit Debug Support Unit with UART Debug Links Memory controller with EDAC: PROM/SRAM/SDRAM/I/O Timer unit with 32-bit timers and watchdog Interrupt controller for 15 interrupts in two priority levels Two UARTs with FIFO and separate baud rate generators 8-bit general purpose input output port 3 x SpaceWire links with RMAP support The baseline package is the 208-pin Ceramic Quad Flat Package. The operating temperature range is -55 to 125 o C.

9 LEON3-FT DARE Architecture Page 9

10 IP Cores and Performances Page 10 The LEONDARE design uses IP-cores exclusively from the GRLIB VHDL IP-core library. The architecture is based on AMBA AHB / APB buses and implements plug&play capabilities, used by debug tools and operating systems. Expected performance: SEU tolerant: hardened flip-flops on-chip memory protection by means of design methods 100 MHz 200 MHz processor speed MIPS / MFLOPS 200 Mbit/sec bi-directional SpaceWire links

11 Page 11 ASIC Layout and Manufacturing

12 DARE cell library DARE = Design Against Radiation Effects Technology: UMC L180 CMOS Logic 1P6M (1.8V/3.3V) Hardened using layout techniques Enclosed transistors & guard bands No leakage current increase (TID tested up to 1MRad) 86 Core Cells 50 combinatorial cells 2 x 18 flip-flop & latch cells included (18 HIT cell based SEU hard) 23 In-line IO Pad Cells (+ P/G + Corners + Fillers) 3.3V & 2.5V I/O s Includes LVDS (driver, receiver & bias) Several pull-up/down options >4KV HBM ESD performance 5V tolerance & cold spare possibility proven Single Port SRAM Compiler (standard 6 transistor RAM cell) PLL Maximum gate density: 25Kgates/mm 2 Page 12

13 LEONDARE Layout & Manufacturing Page 13 Scan insertion, ATPG & Layout For 10 years IMEC has a (commercial) ASIC design support service (RTL to GDSII), Tool sets: Synopsys Galaxy Platform Cadence Encounter Platform Manufacturing Monthly UMC.18 EUROPRACTICE MPW run Extra wafers supply sufficient samples for testing Only LEONDARE dies are delivered (no wafers) Note: DARE can also be used in mixed signal designs

14 Page 14 ASIC Evaluation Testing

15 Electrical Tests Production electrical tests Electrical go-no go tests and measurements performed at 55/+25/+125 C on all devices including : Assembly (wire bonding) and I/O (protection network) continuity check Supply currents measurement Static and dynamic parameters measurement Scan and functional tests Functional electrical tests 5 prototypes validated by the production electrical test randomly selected Functional tests performed in application-representative conditions on GAISLER GR-PCI-XC2V LEON PCI development board Page 15

16 TID Radiation Tests Page 16 Radiation test Total dose (10 prototypes) Total dose (Co60) level is set to 1 Mrad(Si) applied in to 2 steps : Low dose rate (36 to 360 rad/hr) for 0 to 100 krad(si) High dose rate (3.6krad/hr to 36 krad/hr) for 200 to 1000 krad(si) Verification with the production test setup at each step Supply current and ring oscillator drifts measurement

17 SEE Radiation Tests Page 17 Radiation test Single events (3 prototypes) Self-checking SEU test software running internally into chip with external monitoring GAISLER dedicated SEU test software SEL detection by supply current monitoring Ion types Ion type M/Q Energy (MeV) LET (MeV/mg/cm²) 20 Ne Ar Kr Xe

18 Tél. : + 32/ Fax : + 32/ etca.info@alcatelaleniaspace.com Page 18

Processor and Peripheral IP Cores for Microcontrollers in Embedded Space Applications

Processor and Peripheral IP Cores for Microcontrollers in Embedded Space Applications Processor and Peripheral IP Cores for Microcontrollers in Embedded Space Applications Presentation at ADCSS 2010 MESA November 4 th, 2010 www.aeroflex.com/gaisler Presentation outline Microcontroller requirements

More information

ESA Contract 18533/04/NL/JD

ESA Contract 18533/04/NL/JD Date: 2006-05-15 Page: 1 EUROPEAN SPACE AGENCY CONTRACT REPORT The work described in this report was done under ESA contract. Responsibility for the contents resides in the author or organisation that

More information

European LVDS Driver Development and ESCC Evaluation and Qualification

European LVDS Driver Development and ESCC Evaluation and Qualification European LVDS Driver Development and ESCC Evaluation and Qualification Aeroflex Gaisler AB Kungsgatan 12, SE-41119 Gothenburg, Sweden info@gaisler.com +46 31 775 86 50 1 Quick introduction ESA Contract

More information

COMPARISON BETWEEN GR740, LEON4-N2X AND NGMP

COMPARISON BETWEEN GR740, LEON4-N2X AND NGMP Doc..: Date: 2017-08-22 Page: 1 of 11 COMPARISON BETWEEN GR740, LEON4-N2X AND NGMP Doc..: Date: 2017-08-22 Page: 2 of 11 TABLE OF CONTENTS 1 INTRODUCTION... 3 1.1 Scope of the Document... 3 1.2 Reference

More information

Rad-Hard Microcontroller For Space Applications

Rad-Hard Microcontroller For Space Applications The most important thing we build is trust ADVANCED ELECTRONIC SOLUTIONS AVIATION SERVICES COMMUNICATIONS AND CONNECTIVITY MISSION SYSTEMS Rad-Hard Microcontroller For Space Applications Fredrik Johansson

More information

GR712RC A MULTI-PROCESSOR DEVICE WITH SPACEWIRE INTERFACES

GR712RC A MULTI-PROCESSOR DEVICE WITH SPACEWIRE INTERFACES GR712RC A MULTI-PROCESSOR DEVICE WITH SPACEWIRE INTERFACES Session: SpaceWire Components Short Paper Sandi Habinc, Jiri Gaisler Aeroflex Gaisler, Kungsgatan 12, SE-411 19 Göteborg, Sweden sandi@gaisler.com

More information

Advanced Computing, Memory and Networking Solutions for Space

Advanced Computing, Memory and Networking Solutions for Space Advanced Computing, Memory and Networking Solutions for Space 25 th Microelectronics Workshop November 2012 µp, Networking Solutions and Memories Microprocessor building on current LEON 3FT offerings UT699E:

More information

Executive Summary Next Generation Microprocessor (NGMP) Engineering Models Product code: GR740

Executive Summary Next Generation Microprocessor (NGMP) Engineering Models Product code: GR740 Executive Summary Next Generation Microprocessor (NGMP) Engineering Models Product code: GR740 Report 06-- Doc. No GR740-EXEC-005 Issue. Contract 00039/5/NL/LF Deliverable D5 GR740-EXEC-005 06-- of 4 TABLE

More information

ESA-CNES Deep Sub-Micron program ST 65nm. Laurent Dugoujon Remy Chevallier STMicroelectronics Grenoble, France.

ESA-CNES Deep Sub-Micron program ST 65nm. Laurent Dugoujon Remy Chevallier STMicroelectronics Grenoble, France. ESA-CNES Deep Sub-Micron program ST 65nm Laurent Dugoujon Remy Chevallier STMicroelectronics Grenoble, France. Agenda 2 Presentation DSM 65nm challenges DSM 65nm Supply-chain actors ESA-CNES 65nm Program

More information

Development an update. Aeroflex Gaisler

Development an update. Aeroflex Gaisler European SpaceWire Router Development an update Sandi Habinc Aeroflex Gaisler Demand for SpaceWire Router Both European and international customers have shown interest in SpaceWire router with greater

More information

ATMEL ATF280E Rad Hard SRAM Based FPGA. Bernard BANCELIN ATMEL Nantes SAS, Aerospace Business Unit

ATMEL ATF280E Rad Hard SRAM Based FPGA. Bernard BANCELIN ATMEL Nantes SAS, Aerospace Business Unit ATMEL ATF280E Rad Hard SRAM Based FPGA Bernard BANCELIN ATMEL Nantes SAS, Aerospace Business Unit Overview Atmel FPGA Key Points and Architecture ATF280E Radiation Test Results 2 Overview Atmel FPGA Key

More information

Multi-DSP/Micro-Processor Architecture (MDPA)

Multi-DSP/Micro-Processor Architecture (MDPA) Multi-DSP/Micro-Processor Architecture (MDPA) Microelectronics Presentation Days 2010 30 March 2010, ESA/ESTEC, Noordwijk T. Helfers; E. Lembke; P. Rastetter; O. Ried Astrium GmbH Content Motivation MDPA

More information

DEVELOPING RTEMS SMP FOR LEON3/LEON4 MULTI-PROCESSOR DEVICES. Flight Software Workshop /12/2013

DEVELOPING RTEMS SMP FOR LEON3/LEON4 MULTI-PROCESSOR DEVICES. Flight Software Workshop /12/2013 DEVELOPING RTEMS SMP FOR LEON3/LEON4 MULTI-PROCESSOR DEVICES Flight Software Workshop 2013 12/12/2013 Daniel Hellström Presentation does not contain U.S. Export controlled information (aka ITAR) 12/08/13

More information

ATMEL SPACEWIRE PRODUCTS FAMILY

ATMEL SPACEWIRE PRODUCTS FAMILY ATMEL SPACEWIRE PRODUCTS FAMILY Session: Components Short Paper Nicolas RENAUD, Yohann BRICARD ATMEL Nantes La Chantrerie 44306 NANTES Cedex 3 E-mail: nicolas.renaud@atmel.com, yohann.bricard@atmel.com

More information

A ONE CHIP HARDENED SOLUTION FOR HIGH SPEED SPACEWIRE SYSTEM IMPLEMENTATIONS

A ONE CHIP HARDENED SOLUTION FOR HIGH SPEED SPACEWIRE SYSTEM IMPLEMENTATIONS A ONE CHIP HARDENED SOLUTION FOR HIGH SPEED SPACEWIRE SYSTEM IMPLEMENTATIONS Joseph R. Marshall, Richard W. Berger, Glenn P. Rakow Conference Contents Standards & Topology ASIC Program History ASIC Features

More information

Introduction to LEON3, GRLIB

Introduction to LEON3, GRLIB Introduction to LEON3, GRLIB Adi Katav akatav@kaltech.co.il 6201129 4(0) 972+ Ext 101 Introduction to LEON3, GRLIB Few words about KAL: KAL provides professional ASIC consultancy for Digital/Analog ASIC

More information

Next Generation Multi-Purpose Microprocessor

Next Generation Multi-Purpose Microprocessor Next Generation Multi-Purpose Microprocessor Presentation at MPSA, 4 th of November 2009 www.aeroflex.com/gaisler OUTLINE NGMP key requirements Development schedule Architectural Overview LEON4FT features

More information

Development Status for JAXA Critical Parts, 2008

Development Status for JAXA Critical Parts, 2008 The 21st Microelectronics Workshop Development Status for JAXA Critical Parts, 2008 Oct. 7th 2008 Electronic Components and Devices Group Aerospace Research and Development Directorate, JAXA Hiroyuki SHINDOU

More information

SpaceWire IP Cores for High Data Rate and Fault Tolerant Networking

SpaceWire IP Cores for High Data Rate and Fault Tolerant Networking SpaceWire IP Cores for High Data Rate and Fault Tolerant Networking E. Petri 1,2, T. Bacchillone 1,2, N. E. L Insalata 1,2, T. Cecchini 1, I. Del Corona 1,S. Saponara 1, L. Fanucci 1 (1) Dept. of Information

More information

Scalable Sensor Data Processor Development Status DSP Day - September 2014

Scalable Sensor Data Processor Development Status DSP Day - September 2014 Scalable Sensor Data Processor Development Status DSP Day - September 2014 83230347-DOC-TAS-EN-003 Presentation of the SSDP ASIC Program & Context SSDP will be the first Space Qualified European multi-core

More information

Current status of SOI / MPU and ASIC development for space

Current status of SOI / MPU and ASIC development for space The 23rd Microelectronics Workshop Current status of SOI / MPU and ASIC development for space Nov. 11 th 2010 Electronic Devices and Materials Group Aerospace Research and Development Directorate, JAXA

More information

LEON4: Fourth Generation of the LEON Processor

LEON4: Fourth Generation of the LEON Processor LEON4: Fourth Generation of the LEON Processor Magnus Själander, Sandi Habinc, and Jiri Gaisler Aeroflex Gaisler, Kungsgatan 12, SE-411 19 Göteborg, Sweden Tel +46 31 775 8650, Email: {magnus, sandi, jiri}@gaisler.com

More information

Microelectronics Presentation Days March 2010

Microelectronics Presentation Days March 2010 Microelectronics Presentation Days March 2010 FPGA for Space Bernard Bancelin for David Dangla Atmel ASIC BU Aerospace Product Line Everywhere You Are Atmel Radiation Hardened FPGAs Re-programmable (SRAM

More information

Multi-DSP/Micro-Processor Architecture (MDPA) Paul Rastetter Astrium GmbH

Multi-DSP/Micro-Processor Architecture (MDPA) Paul Rastetter Astrium GmbH Multi-DSP/Micro-Processor Architecture (MDPA) Paul Rastetter Astrium GmbH Astrium ASE2 MDPA for New Generation Processor (NGP) Payload Control Processor MDPA (Multi-DSP/ µprocessor Architecture) features:

More information

AT697E LEON2-FT Final Presentation

AT697E LEON2-FT Final Presentation AT697E LEON2-FT Final Presentation ESA contract 15036/01/NL/FM Nicolas RENAUD ATMEL Nantes + 33 2 40 18 17 19 nicolas.renaud@nto.atmel.com Overview Initial objectives design phase Validation and characterisation

More information

CCSDS Unsegmented Code Transfer Protocol (CUCTP)

CCSDS Unsegmented Code Transfer Protocol (CUCTP) CCSDS Unsegmented Code Transfer Protocol (CUCTP) Marko Isomäki, Sandi Habinc Aeroflex Gaisler AB Kungsgatan 12, SE-411 19 Göteborg, Sweden marko@gaisler.com www.aeroflex.com/gaisler Introduction Time synchronization

More information

ADVANCED ELECTRONIC SOLUTIONS AVIATION SERVICES COMMUNICATIONS AND CONNECTIVITY MISSION SYSTEMS

ADVANCED ELECTRONIC SOLUTIONS AVIATION SERVICES COMMUNICATIONS AND CONNECTIVITY MISSION SYSTEMS The most important thing we build is trust ADVANCED ELECTRONIC SOLUTIONS AVIATION SERVICES COMMUNICATIONS AND CONNECTIVITY MISSION SYSTEMS UT840 LEON Quad Core First Silicon Results Cobham Semiconductor

More information

GR740 Technical Note on Benchmarking and Validation

GR740 Technical Note on Benchmarking and Validation GR740 Technical Note on Benchmarking and Validation Technical Note 2018-08-20 Doc. No Issue 3.0 Date: 2018-08-20 Page: 2 of 15 CHANGE RECORD Issue Date Section / Page Description 2.0 2016-12-28 All 2.1

More information

SpaceWire Router ASIC

SpaceWire Router ASIC SpaceWire Router ASIC Steve Parkes, Chris McClements Space Technology Centre, University of Dundee Gerald Kempf, Christian Toegel Austrian Aerospace Stephan Fisher Astrium GmbH Pierre Fabry, Agustin Leon

More information

AN OPEN-SOURCE VHDL IP LIBRARY WITH PLUG&PLAY CONFIGURATION

AN OPEN-SOURCE VHDL IP LIBRARY WITH PLUG&PLAY CONFIGURATION AN OPEN-SOURCE VHDL IP LIBRARY WITH PLUG&PLAY CONFIGURATION Jiri Gaisler Gaisler Research, Första Långgatan 19, 413 27 Göteborg, Sweden Abstract: Key words: An open-source IP library based on the AMBA-2.0

More information

RADIATION HARDENED BY DESIGN ASIC LIBRARY QUALIFICATION, PROCUREMENT AND MAINTENANCE (ESA CONTRACT 20896/07/NL/JD)

RADIATION HARDENED BY DESIGN ASIC LIBRARY QUALIFICATION, PROCUREMENT AND MAINTENANCE (ESA CONTRACT 20896/07/NL/JD) RADIATION HARDENED BY DESIGN ASIC LIBRARY QUALIFICATION, PROCUREMENT AND MAINTENANCE (ESA CONTRACT 20896/07/NL/JD) OVERVIEW Project Objective Work Package Descriptions Contract Change Notices Milestones

More information

CCSDS Time Distribution over SpaceWire

CCSDS Time Distribution over SpaceWire CCSDS Time Distribution over SpaceWire Sandi Habinc, Marko Isomäki, Daniel Hellström Aeroflex Gaisler AB Kungsgatan 12, SE-411 19 Göteborg, Sweden sandi@gaisler.com www.aeroflex.com/gaisler Introduction

More information

Next Generation Microprocessor Functional Prototype SpaceWire Router Validation Results

Next Generation Microprocessor Functional Prototype SpaceWire Router Validation Results Next Generation Microprocessor Functional Prototype SpaceWire Router Validation Results Jonas Ekergarn, Jan Andersson, Andreas Larsson, Daniel Hellström, Magnus Hjorth Aeroflex Gaisler AB Roland Weigand

More information

GR716 Single-Core LEON3FT Microcontroller. Cobham Gaisler AMICSA 2018

GR716 Single-Core LEON3FT Microcontroller. Cobham Gaisler AMICSA 2018 GR716 Single-Core LEON3FT Microcontroller Cobham Gaisler AMICSA 2018 Introduction Description The GR716 features a fault-tolerant LEON3 SPARC V8 processor, communication interfaces and on-chip ADC, DAC,

More information

Jiri Gaisler. Gaisler Research.

Jiri Gaisler. Gaisler Research. Fault-Tolerant and Radiation Hardened SPARC Processors Jiri Gaisler Gaisler Research jiri@gaisler.com Outline Historical Background LEON2FT & AT697 LEON3 and GRLIB LEON3FT Projects Software tools overview

More information

16th Microelectronics Workshop Oct 22-24, 2003 (MEWS-16) Tsukuba Space Center JAXA

16th Microelectronics Workshop Oct 22-24, 2003 (MEWS-16) Tsukuba Space Center JAXA 16th Microelectronics Workshop Oct 22-24, 2003 (MEWS-16) Tsukuba Space Center JAXA 1 The proposed presentation explores the use of commercial processes, including deep-sub micron process technology, package

More information

Design of Next Generation CPU Card for State of the Art Satellite Control Application

Design of Next Generation CPU Card for State of the Art Satellite Control Application Design of Next Generation CPU Card for State of the Art Satellite Control Application Deepa. R [M.Tech], Microelectronics & Control Systems Dayananda Sagar College of Engineering Bangalore, 560078 Rajashekar.

More information

Final Presentation. Network on Chip (NoC) for Many-Core System on Chip in Space Applications. December 13, 2017

Final Presentation. Network on Chip (NoC) for Many-Core System on Chip in Space Applications. December 13, 2017 Final Presentation Network on Chip (NoC) for Many-Core System on Chip in Space Applications December 13, 2017 Dr. ir. Gerard Rauwerda Gerard.Rauwerda@recoresystems.com NoC round table Network-on-Chip (NoC)

More information

The SpaceWire RTC: Remote Terminal Controller

The SpaceWire RTC: Remote Terminal Controller SpaceWire-SnP Working Group ESTEC, Sept 15 th, 2004 The : Remote Terminal Controller Data Systems Division luca.tunesi@esa.int ESTEC, Sept 15 th, 2004 slide: 1 Background: the OPDPS Low/Med. Speed Bus:CAN

More information

Migrating from the UT699 to the UT699E

Migrating from the UT699 to the UT699E Standard Products Application Note Migrating from the UT699 to the UT699E January 2015 www.aeroflex.com/leon Table 1.1 Cross Reference of Applicable Products Product Name: Manufacturer Part Number SMD

More information

SpaceWire Router - Status

SpaceWire Router - Status Router - Status Working Group Meeting Dr. Stephan Fischer Dr. Steve Parkes Gerald Kempf Pierre Fabry EADS Astrium GmbH University of Dundee Austrian Aerospace GmbH ESA ESA, Noordwijk 15. Sep. 004 Outline

More information

Aeroflex Colorado Springs RadHard Eclipse FPGA Frequently Asked Questions

Aeroflex Colorado Springs RadHard Eclipse FPGA Frequently Asked Questions Aeroflex Colorado Springs RadHard Eclipse FPGA Frequently Asked Questions (NOTE - FAQs WILL BE UPDATED ON A REGULAR BASIS) Introduction: QuickLogic has licensed their metal-to-metal Vialink TM technology

More information

HX5000 Standard Cell ASIC Platform

HX5000 Standard Cell ASIC Platform Standard Cell ASIC Platform GENERAL DESCRIPTION The Honeywell HX5000 Platform ASICs are manufactured on the Honeywell s fully QML Qualified 150nm CMOS Silicon on Insulator technology using a cell-based

More information

LEON- PCI- UMC Development Board

LEON- PCI- UMC Development Board LEON- PCI- UMC Development Board Test Report GAISLER RESEARCH / PENDER ELECTRONIC DESIGN Rev. 1.2, 2004-04- 02 LEON- PCI- UMC Development Board Test Report 2 Gaisler Resarch LEON- PCI- UMC Development

More information

Intellectual Property Macrocell for. SpaceWire Interface. Compliant with AMBA-APB Bus

Intellectual Property Macrocell for. SpaceWire Interface. Compliant with AMBA-APB Bus Intellectual Property Macrocell for SpaceWire Interface Compliant with AMBA-APB Bus L. Fanucci, A. Renieri, P. Terreni Tel. +39 050 2217 668, Fax. +39 050 2217522 Email: luca.fanucci@iet.unipi.it - 1 -

More information

Advanced Concepts and Components for adaptive Avionics

Advanced Concepts and Components for adaptive Avionics Advanced Concepts and Components for adaptive Avionics Ph. Armbruster Head of Data Systems Division ESTEC 03/03/2016 AVIONICS : Cost reduction as a challenge AVIONICS include: Data Handling TM/TC Attitude

More information

FPGAs APPLICATIONS. 2012, Sept Copyright Atmel Corporation

FPGAs APPLICATIONS. 2012, Sept Copyright Atmel Corporation FPGAs For SPACE APPLICATIONS 2012, Sept. 1 2012 Copyright Atmel Corporation 03/14/2012 Roadmap Legend Product Eng Sample Concept Eng Sample FM FM AT40K family ATF5000 ATF2500 ATFSee900 Next generation

More information

SCS750. Super Computer for Space. Overview of Specifications

SCS750. Super Computer for Space. Overview of Specifications SUPER COMPUTER FOR SPACE TM Super Computer for Space F FLIGHT MODULE Overview of Specifications One board upset every 100 years in a GEO or LEO Orbit Up to 1000X Better Performance Than Current Space Processor

More information

GR740 Processor development

GR740 Processor development The most important thing we build is trust ADVANCED ELECTRONIC SOLUTIONS AVIATION SERVICES COMMUNICATIONS AND CONNECTIVITY MISSION SYSTEMS GR740 Processor development Magnus Hjorth, Cobham Gaisler AB Space

More information

GR740 Technical Note on Benchmarking and Validation

GR740 Technical Note on Benchmarking and Validation GR740 Technical Note on Benchmarking and Validation Technical Note 2019-01-29 Doc. No Issue 3.3 Date: 2019-01-29 Page: 2 of 16 CHANGE RECORD Issue Date Section / Page Description 2.0 2016-12-28 All 2.1

More information

A Portable and Fault-Tolerant Microprocessor Based on the SPARC V8 Architecture

A Portable and Fault-Tolerant Microprocessor Based on the SPARC V8 Architecture A Portable and Fault-Tolerant Microprocessor Based on the SPARC V8 Architecture Jiri Gaisler Gaisler Research, 411 08 Göteborg, Sweden jiri@gaisler.com Abstract The architecture and implementation of the

More information

DSM ASIC Technology & HSSL (KIPSAT)

DSM ASIC Technology & HSSL (KIPSAT) DSM ASIC Technology & HSSL (KIPSAT) Presented by L.Dugoujon ST/APM/MSH Microelectronics Presentation Days ESA/ESTEC 30March-01April 2010. 2 OUTLINE Need for DSM 65nm Key IPs KIPSAT project phases/status

More information

Microcontrollers Applications within Thales Alenia Space products

Microcontrollers Applications within Thales Alenia Space products ADCSS 2010 Microcontrollers for Embedded Space Applications (MESA) Roundtable Template reference : 100181670S-EN Microcontrollers Applications within Thales Alenia Space products Presented by: M.L. Esposti

More information

Radiation Hardened by Design 8 bit RISC with Dual I2C Bus Support and SPI for External NVM Support

Radiation Hardened by Design 8 bit RISC with Dual I2C Bus Support and SPI for External NVM Support Radiation Hardened by Design 8 bit RISC with Dual I2C Bus Support and SPI for External NVM Support Sasan Ardalan, Donald Elkins, Will Burke 8102 Menaul Blvd NE, Albuquerque, NM, 87110; 505-294-1962 sasan.ardalan@micro-rdc.com

More information

PowerPC- based Processor Modules for Space Applications

PowerPC- based Processor Modules for Space Applications PowerPC- based Processor Modules for Space Applications Patria Systems OY Jaakko Toivonen 12.09.2006 PowerPC- based Single Board Computers Two Single Board Computer (SBC) modules targeted for space applications:

More information

European LVDS driver development and ECSS evaluation and qualification

European LVDS driver development and ECSS evaluation and qualification European LVDS driver development and ECSS evaluation and qualification resenter: Jørgen Ilstad 18 th SpW working group SpW component development ESTEC 25/04/2012 ESA UCLASSIFIED For Official Use ECI phase

More information

IO & ESD protection 1.8V & 3.3V capable general purpose digital IO pad based on 1.8V devices for TSMC 28nm CMOS technology

IO & ESD protection 1.8V & 3.3V capable general purpose digital IO pad based on 1.8V devices for TSMC 28nm CMOS technology Data sheet IO & ESD protection 1.8V & 3.3V capable general purpose digital IO pad based on 1.8V devices for TSMC 28nm CMOS technology Sofics has verified its TakeCharge ESD protection clamps on TSMC 28nm

More information

High temperature / radiation hardened capable ARM Cortex -M0 microcontrollers

High temperature / radiation hardened capable ARM Cortex -M0 microcontrollers High temperature / radiation hardened capable ARM Cortex -M0 microcontrollers R. Bannatyne, D. Gifford, K. Klein, C. Merritt VORAGO Technologies 2028 E. Ben White Blvd., Suite #220, Austin, Texas, 78741,

More information

SoCWire: a SpaceWire inspired fault tolerant Network on Chip approach for reconfigurable System-on-Chip in Space applications

SoCWire: a SpaceWire inspired fault tolerant Network on Chip approach for reconfigurable System-on-Chip in Space applications SoCWire: a SpaceWire inspired fault tolerant Network on Chip approach for reconfigurable System-on-Chip in Space applications Björn Osterloh Institute of Computer and Network Engineering TU Braunschweig,

More information

VORAGO TECHNOLOGIES. Rad-hard CMOS Based Technology for Space Ross Bannatyne (512)

VORAGO TECHNOLOGIES. Rad-hard CMOS Based Technology for Space Ross Bannatyne (512) VORAGO TECHNOLOGIES Rad-hard CMOS Based Technology for Space Ross Bannatyne rbannatyne@voragotech.com (512) 550-2954 Space Parts Working Group Torrance, CA April 4-5th, 2017 VORAGO Technologies Privately

More information

ESA IPs & SoCs developments

ESA IPs & SoCs developments ESA IPs & SoCs developments Picture courtesy of: Lightwave esearch Laboratory Columbia University NY 1 ESA IP cores portfolio Processor Leon2 FT Fault tolerant Sparc V8 architecture Data handling Interfaces

More information

Payload Data Processing Technologies for JUICE

Payload Data Processing Technologies for JUICE Payload Data Processing Technologies for JUICE JUICE instrument Workshop ESOC, 9 th -11 th November 2011 R. Trautner, TEC-EDP 1 Contents Presentation Overview Expected payload data processing requirements

More information

Booting a LEON system over SpaceWire RMAP. Application note Doc. No GRLIB-AN-0002 Issue 2.1

Booting a LEON system over SpaceWire RMAP. Application note Doc. No GRLIB-AN-0002 Issue 2.1 Template: GQMS-TPLT-1-1-0 Booting a LEON system over SpaceWire RMAP Application note 2017-05-23 Doc. No Issue 2.1 Date: 2017-05-23 Page: 2 of 11 CHANGE RECORD Issue Date Section / Page Description 1.0

More information

2 nd ESA IP-Cores Day

2 nd ESA IP-Cores Day Description of the Event 2 nd ESA IP-Cores Day 16th of September 2013 ESA / ESTEC (Noordwijk, The Netherlands) On the 16th of September 2013 the 2nd ESA IP-Cores day will take place at ESA/ESTEC, in EINSTEIN

More information

Evaluation of Soft-Core Processors on a Xilinx Virtex-5 Field Programmable Gate Array

Evaluation of Soft-Core Processors on a Xilinx Virtex-5 Field Programmable Gate Array SANDIA REPORT SAND2011-2733 Unlimited Release Printed April 2011 Evaluation of Soft-Core Processors on a Xilinx Virtex-5 Field Programmable Gate Array Mark W. Learn Prepared by Sandia National Laboratories

More information

VORAGO TECHNOLOGIES. Solutions for Selective Radiation-Hardened Components in CubeSats Ross Bannatyne, VORAGO Technologies

VORAGO TECHNOLOGIES. Solutions for Selective Radiation-Hardened Components in CubeSats Ross Bannatyne, VORAGO Technologies VORAGO TECHNOLOGIES Solutions for Selective Radiation-Hardened Components in CubeSats Ross Bannatyne, VORAGO Technologies rbannatyne@voragotech.com VORAGO Technologies VORAGO Technologies, Austin, Texas.

More information

Massively Parallel Processor Breadboarding (MPPB)

Massively Parallel Processor Breadboarding (MPPB) Massively Parallel Processor Breadboarding (MPPB) 28 August 2012 Final Presentation TRP study 21986 Gerard Rauwerda CTO, Recore Systems Gerard.Rauwerda@RecoreSystems.com Recore Systems BV P.O. Box 77,

More information

Mixed-Signal. From ICs to Systems. Mixed-Signal solutions from Aeroflex Colorado Springs. Standard products. Custom ASICs. Mixed-Signal modules

Mixed-Signal. From ICs to Systems. Mixed-Signal solutions from Aeroflex Colorado Springs. Standard products. Custom ASICs. Mixed-Signal modules A passion for performance. Mixed-Signal solutions from Aeroflex Colorado Springs Standard products Custom ASICs Mixed-Signal modules Circuit card assemblies Mixed-Signal From ICs to Systems RadHard ASICs

More information

A common basic SW and DPU platform for the JUICE mission Instruments

A common basic SW and DPU platform for the JUICE mission Instruments The most important thing we build is trust ADVANCED ELECTRONIC SOLUTIONS AVIATION SERVICES COMMUNICATIONS AND CONNECTIVITY MISSION SYSTEMS A common basic SW and DPU platform for the JUICE mission Instruments

More information

Atmel AT697 validation report

Atmel AT697 validation report Atmel AT697 validation report ESA Contract 18533/04/NL/JD, call-off order 2 GR-AT697-002 Version 1.2 June 2005 Första Långgatan 19 tel +46 31 7758650 SE-413 27 Göteborg fax +46 31 421407 Sweden www.gaisler.com

More information

High Accuracy Time Synchronization over SpaceWire Networks

High Accuracy Time Synchronization over SpaceWire Networks High Accuracy Time Synchronization over SpaceWire Networks Final Report SPWCUC-REP-0004 Version 1.0 18 December 2013 i Final Report ESTEC Contract 400105931 EUROPEAN SPACE AGENCY CONTRACT REPORT The work

More information

EMC2. Prototyping and Benchmarking of PikeOS-based and XTRATUM-based systems on LEON4x4

EMC2. Prototyping and Benchmarking of PikeOS-based and XTRATUM-based systems on LEON4x4 EMC2 Prototyping and Benchmarking of PikeOS-based and XTRATUM-based systems on LEON4x4 Introduction Multi-core architectures will be adopted in the next generations of avionics and aerospace systems. Integrated

More information

Gaisler Research LEON SPARC Processor The past, present and future Jiri Gaisler Gaisler Research

Gaisler Research LEON SPARC Processor The past, present and future Jiri Gaisler Gaisler Research Gaisler Research LEON SPARC Processor The past, present and future Jiri Gaisler Gaisler Research Presentation outline Brief history of ESA processor design The development of LEON1 & LEON2 LEON3 and GRLIB

More information

CircuitsMulti-Projets

CircuitsMulti-Projets From layout to chips CircuitsMulti-Projets MPW Services Center for ICs, Photonics & MEMS Prototyping & Low Volume Production mycmp.fr Grenoble - France From layout to chips STMicroelectronics Standard

More information

SpaceWire Remote Terminal Controller

SpaceWire Remote Terminal Controller Remote Terminal Controller Presented by Jørgen Ilstad On board Payload Data Section, ESTEC Wahida Gasti, ESA ESTEC Co Authors Sandi Habinc, Gaisler Research Peter Sinander, SAAB Space Slide : 1 Overview

More information

Artisan 0.18um Library

Artisan 0.18um Library Artisan 0.18um Library 478 high-density standard cells Average cell density of 111K gates/sq.mm Multiple drive strengths Routable in 3, 4, 5 or more metal layers Comprehensive design tool support Process

More information

SMCSlite and DS-Link Macrocell Development

SMCSlite and DS-Link Macrocell Development and DS-Link Macrocell Development Microelectronics Final Presentation Days, ESTEC, 6-7 March 2001 Anja Christen Tim Pike Paul Rastetter Astrium GmbH, D-81663 Ottobrunn Tel. ++49-89-60720267, Fax ++49-89-60721302

More information

SINGLE BOARD COMPUTER FOR SPACE

SINGLE BOARD COMPUTER FOR SPACE SINGLE BOARD COMPUTER FOR SPACE Proven in Space Best Single Event Performance Seamless Error Correction Wide Range of Processing Power Highest Design Margin SCS750 FLIGHT MODULE Overview of Specifications

More information

Temporal Latch Based Flip Flops to Mitigate Transient Pulse Widths of up to 1ns.

Temporal Latch Based Flip Flops to Mitigate Transient Pulse Widths of up to 1ns. High Density Interconnect Technology (HDI) for Chip Scale and Land Grid Array Packaging for Space Qualifiable Radiation Hardened Systems on a Chip Solutions Sasan Ardalan, Donald Elkins Microelectronics

More information

ASICs Digital and Mixed-Signal

ASICs Digital and Mixed-Signal ASICs Digital and Mixed-Signal Brochure January 2018 Digital and Mixed-Signal custom, semi custom, off-the-shelf designs with Cobham Gaisler IP Guaranteed radiation performance QML-V, QML-Q, QML-Y, military,

More information

ATF280E A Rad-Hard reprogrammable FPGA

ATF280E A Rad-Hard reprogrammable FPGA ATF280E A Rad-Hard reprogrammable FPGA ESA/ESTEC 3 rd Microelectronics Presentation Days 2007 Valérie Ho-Shui-Ling Thibaud Gaillard Overview ATMEL rad-hard FPGA family The ATF280E FPGA ATMEL FPGA architecture

More information

SEFUW workshop. Feb 17 th 2016

SEFUW workshop. Feb 17 th 2016 SEFUW workshop Feb 17 th 2016 NanoXplore overview French fabless company with two activities FPGA core IP High reliable FPGA devices Lead by FPGA industry experts with more than 25 years track records

More information

Fujitsu SOC Fujitsu Microelectronics America, Inc.

Fujitsu SOC Fujitsu Microelectronics America, Inc. Fujitsu SOC 1 Overview Fujitsu SOC The Fujitsu Advantage Fujitsu Solution Platform IPWare Library Example of SOC Engagement Model Methodology and Tools 2 SDRAM Raptor AHB IP Controller Flas h DM A Controller

More information

V8uC: Sparc V8 micro-controller derived from LEON2-FT

V8uC: Sparc V8 micro-controller derived from LEON2-FT V8uC: Sparc V8 micro-controller derived from LEON2-FT ESA Workshop on Avionics Data, Control and Software Systems Noordwijk, 4 November 2010 Walter Errico SITAEL Aerospace phone: +39 0584 388398 e-mail:

More information

MPW Program for Space ESA Contract: 17767/03/NL/FM. Jean BOUILLON MDP ESA Feb 4th, 2004

MPW Program for Space ESA Contract: 17767/03/NL/FM. Jean BOUILLON MDP ESA Feb 4th, 2004 MPW Program for Space ESA Contract: 17767/03/NL/FM Jean BOUILLON MDP ESA Feb 4th, 2004 MPW Space Objectives! For ATC18RHA ASIC family! Share the set of reticules and silicon costs between several designs!

More information

HX5000 ASIC Platform S150 (150 nm) ASICs

HX5000 ASIC Platform S150 (150 nm) ASICs ASIC Platform S150 (150 nm) ASICs GENERAL DESCRIPTION The Honeywell HX5000 Platform ASICs are manufactured on the Honeywell 150nm CMOS Silicon on Insulator technology using a cell-based library and advanced

More information

RAD6000 Space Computers

RAD6000 Space Computers RAD6000 Space Computers RAD6000 space computers RAD6000 single-board computers combine commercial standards with unique radiation-hardened technology and packaging to meet the specific requirements of

More information

The CoreConnect Bus Architecture

The CoreConnect Bus Architecture The CoreConnect Bus Architecture Recent advances in silicon densities now allow for the integration of numerous functions onto a single silicon chip. With this increased density, peripherals formerly attached

More information

High Performance Mixed-Signal Solutions from Aeroflex

High Performance Mixed-Signal Solutions from Aeroflex High Performance Mixed-Signal Solutions from Aeroflex We Connect the REAL World to the Digital World Solution-Minded Performance-Driven Customer-Focused Aeroflex (NASDAQ:ARXX) Corporate Overview Diversified

More information

GOES-R SpaceWire Implementation

GOES-R SpaceWire Implementation GOES-R SpaceWire Implementation William Anderson GSFC/MEI Technologies Inc. William.H.Anderson@nasa.gov International SpaceWire Conference 2007 Dundee, Scotland, UK September 17, 2007 1 GOES-R SpaceWire

More information

0.35um design verifications

0.35um design verifications 0.35um design verifications Path end segment check (END) First check is the end segment check, This error is related to the routing metals when routing is done with a path. The finish of this path can

More information

The special radiation-hardened processors for new highly informative experiments in space

The special radiation-hardened processors for new highly informative experiments in space Journal of Physics: Conference Series PAPER OPEN ACCESS The special radiation-hardened processors for new highly informative experiments in space To cite this article: O V Serdin et al 2017 J. Phys.: Conf.

More information

Digital Control for Space Power Management Devices

Digital Control for Space Power Management Devices Template reference : 100182079N-EN Digital Control for Space Power Management Devices Work conducted under ESA Contract nr.21826/08/nl/lvh DIGITAL POWER CONTROL Management of power devices via digital

More information

Page 1 SPACEWIRE SEMINAR 4/5 NOVEMBER 2003 JF COLDEFY / C HONVAULT

Page 1 SPACEWIRE SEMINAR 4/5 NOVEMBER 2003 JF COLDEFY / C HONVAULT Page 1 SPACEWIRE SEMINAR 4/5 NOVEMBER 2003 JF COLDEFY / C HONVAULT INTRODUCTION The SW IP was developped in the frame of the ESA 13345/#3 contract "Building block for System on a Chip" This presentation

More information

John Cornforth (1), Andrew Bacon (1), I Sturland (2), Roland Trautner (TO) (3) (1) Presented by John Cornforth

John Cornforth (1), Andrew Bacon (1), I Sturland (2), Roland Trautner (TO) (3) (1) Presented by John Cornforth Smart Microsystems A Feasibility Study to Investigate the Decentralisation of Space Systems with highly efficient Micronodes using advanced ASIC technologies John Cornforth (1), Andrew Bacon (1), I Sturland

More information

TSBCD025 High Voltage 0.25 mm BCDMOS

TSBCD025 High Voltage 0.25 mm BCDMOS TSBCD025 High Voltage 0.25 mm BCDMOS TSI Semiconductors' 0.25 mm process is a feature rich platform with best in class CMOS, LDMOS, and BiPolar devices. The BCD technology enables logic, Mixed-Signal,

More information

Investigation on seal-ring rules for IC product reliability in m CMOS technology

Investigation on seal-ring rules for IC product reliability in m CMOS technology Microelectronics Reliability 45 (2005) 1311 1316 www.elsevier.com/locate/microrel Investigation on seal-ring rules for IC product reliability in 0.25- m CMOS technology Shih-Hung Chen a * and Ming-Dou

More information

QPro XQR17V16 Radiation Hardened 16Mbit QML Configuration PROM

QPro XQR17V16 Radiation Hardened 16Mbit QML Configuration PROM R DS126 (v1.0) December 18, 2003 0 8 Product Specification 0 QPro XQR17V16 Radiation Hardened 16Mbit QML Configuration PROM Features Latch-Up Immune to LET >120 MeV/cm 2 /mg Guaranteed TID of 50 krad(si)

More information

Military Grade SmartFusion Customizable System-on-Chip (csoc)

Military Grade SmartFusion Customizable System-on-Chip (csoc) Military Grade SmartFusion Customizable System-on-Chip (csoc) Product Benefits 100% Military Temperature Tested and Qualified from 55 C to 125 C Not Susceptible to Neutron-Induced Configuration Loss Microcontroller

More information

TRP and GSTP activity. Spacecraft Controller On a Chip (SCOC3) ASIC Manufacturing, Test and Validation EXECUTIVE SUMMARY

TRP and GSTP activity. Spacecraft Controller On a Chip (SCOC3) ASIC Manufacturing, Test and Validation EXECUTIVE SUMMARY TRP and GSTP activity Spacecraft Controller On a Chip (SCOC3) ASIC Manufacturing, Test and Validation EXECUTIVE SUMMARY EUROPEAN SPACE AGENCY CONTRACT REPORT The work described in this report was done

More information