TSBCD025 High Voltage 0.25 mm BCDMOS

Size: px
Start display at page:

Download "TSBCD025 High Voltage 0.25 mm BCDMOS"

Transcription

1 TSBCD025 High Voltage 0.25 mm BCDMOS TSI Semiconductors' 0.25 mm process is a feature rich platform with best in class CMOS, LDMOS, and BiPolar devices. The BCD technology enables logic, Mixed-Signal, and High Voltage designs for a wide range of high-growth applications such as LED Lighting, Energy Management, Consumer White Goods, and Networking. Eight-inch wafers are manufactured using this process in an automotive qualified facility located in Roseville, CA. Key Features The process was developed such that significant die area reduction, and therefore lower die-cost, could be achieved. The process can offer >20% more die per wafer versus comparable foundry technologies. Feature rich BCD process 2.5V / 5.0V foundry compatible CMOS Scalable extended drain / lateral drain MOS from 15V to 80V Up to 6 levels of Al with a 3.3 mm ultra thick metal option Aggressive back-end design rules, similar to 0.18 mm, resulting in smaller die size Deep trench isolation (DTI) with excellent lateral isolation also for smaller die size DTI allows designers to float HV devices for use as both high and low side drivers across a substrate voltage range from -100 to +100V Applications Automotive Energy Management LED Lighting Networking Industrial Automation Consumer Micro-controllers, evehicles, hybrid vehicles, charging stations Photovoltaics, wind and solar meters, battery management, gate drivers Signage, street / traffic lighting, architectural, pixilated sources, drivers Power over Ethernet, power line communications, smart grid, base stations Smart building, home and industrial controls, smart sensors Digital power mgmt units, active-matrix organic LED displays, class D audio, IOT

2 Standard Cell and IO Libraries Library Feature Voltage Range Standard Cell Library I/O Cell Library 2.5V/5V I/O Cell Library 20V/40V/60V Analog I/O 9 Track, high density, multiple drive strength & highly optimized for synthesis, optional DTI for low noise and high/low side designs. Support for Circuit Under Pad (CUP) from 4LM to 6LM, inline and staggered bondpads, output frequency up to 100 Mhz, multiple (up to 8x) drive strength options, >2kV HBM Support for CUP from 4LM to 6LM, inline and staggered bondpads, output frequency up to 100Mhz, >2KV HBM 2.25V to 2.75V 2.5V/5V 20V/40V/60V Application ISSI OTP Memory 1 x 32 bits 1.6 to 2.0V Trim bits Signal path, power management, low power and low noise Pad limited & core limited designs Pad limited & core limited designs ISSI OTP Memory 8k x 8 bits 4.5 to 5.5V Parameters / Data TSI Single-Port SRAM sq. microns 2.5V +/- 10% Bit cell only TSI Dual-Port SRAM sq. microns 2.5V +/- 10% Bit cell only TSI's Design Advantages Deep Trench Isolation reduces die size, leakage current and allows for higher operating temperatures DTI 20-30% Area Reduction N-Isolation DTI Isolation Well DTI Isolation N-Isolation p-epi N type Buried Layer TSI Foundry TSI's 025BCD Back-End of Line (BEOL) is similar to 0.18 mm design rules and coupled with DTI, results in the potential for a 20%-30% die size area reduction.

3 BEOL Design Rules Comparison Design Rule Std 0.25mm BEOL Std 0.18mm BEOL 025BCD ~ [0.18mm] BEOL Contact Width/Space M1 Width/Space Via 1-5 CD/Space M2-5 Width/Space M6 (1mm) Width/Space VTM CD/Space M6 (3mm) Width/Space 0.3/ / / / /2 0.22/ / / / / /2 0.22/ / / / / / /1.9 Device Electrical Parameters TSBCD025 Core MOS Transistors Device 2.5V NMOS 2.5V NMOS - Isolated 2.5V PMOS 2.5V PMOS - Isolated 2.5V Native VT NMOS 2.5V Native VT NMOS - Isolated 5V NMOS 5V NMOS - Isolated 5V PMOS 5V PMOS - Isolated 5V Native VT NMOS 5V Native VT NMOS - Isolated W/L [mm] V T ID SAT [ma/mm] I I OFF l [pa/mm] I BV DSS I Max V DS Max V GS 10/ ± ±90 <250 6 ± / ± ±50 <150 6 ± / ± ±90 NA NA / ± ±80 < ± / ± ±40 < ± / ± ±190 NA NA TSBCD025 High Voltage LDMOS Transistors Device V T ID SAT [ma/mm] R ON * Area [ohm.mm2] I BV DSS I Max V DS 20V NDMOS > V NDMOS > V NDMOS > V NDMOS > V PDMOS > V PDMOS > V PDMOS > Max V GS

4 Metal Layer Options HV Device Benchmarked to Industry LDNMOS RDSOn vs. BVDSS RDSOn [mohms-mm 2 ] RV_0.25umBCD_LDNMOS Competitor 1 Competitor 2 Competitor 3 Competitor BVDSS Very competitive RDSon - TSBCD025 is useful for fast switching applications like power management.

5 Design Flow and Tools BCD25 PDK Cadence DFII (v5.1x). OA (6.1.6) Mentor Graphics Calibre (v2014.2_23.18) Cadence - Spectre, Spectre-Verilog Synopsis - HSPICE Layout/Schematic DRC/LVS/ERC/PEX SPICE & Verilog Simulation SPICE Simulation BCD 0.25 µm Design Collateral PDK, Standard Cell Lib, I/O Lib, Memory Digital HV/Analog/Mixed-Signal Synthesis (IC Compiler) Schematic Capture (Virtuoso) Simulation (Verilog) Simulation (Spectre, Hspice, Spectre-Verilog) Place & Route (IC Compiler, Encounter) Custom Layout (VXL) Analog P&R (Virtuoso GXL) Post Layout Simulations (Timing, Power, Integrity, Primetime, VPS) Simulations w/ Parasitics (Spectre/Hspice back annotation) Verification & Sign-off PDK Availability Logic and HV: V1.0 - Now Mixed Signal: V1.0 - March 2015 About TSI Semiconductors TSI Semiconductors offers world class analog and mixed signal foundry processes and services for RF, power management, high voltage, and high temperature automotive applications. TSI Semiconductors' experienced engineering teams also excel at installing customer-specific processes to meet our customers' requirements in analog and mixed signal applications. The complete array of services provided by TSI Semiconductors can take any set of specifications and create a totally transparent turnkey solution, from chipset development to fully qualified and packaged products. Headquarters TSI Semiconductors 7501 Foothills Blvd. Roseville, CA (916) Visit us online at tsisemi.com or drop us a line at foundry.sales@tsisemi.com

BCD8sP Technology Overview

BCD8sP Technology Overview BCD8sP Technology Overview Sense & Power and Automotive Technology R&D Smart Power Technology January 2017 What is BCD? 2 A concept invented by ST in the mid-80s [1][2][3] widely used today in the industry

More information

Circuits Multi Projets

Circuits Multi Projets Circuits Multi Projets MPW Services Center for IC / MEMS Prototyping http://cmp.imag.fr Grenoble France CMP annual users meeting, 4 Feb. 2016, PARIS STMicroelectronics Standard Technology offers at CMP

More information

Circuits Multi-Projets

Circuits Multi-Projets Circuits Multi-Projets Technology Processes & Runs in 2017 MPW Service Center for ICs, Photonics, & MEMS Prototyping & Low Volume Production http://mycmp.fr Grenoble - France Available Processes Process

More information

700V Power Management Platform with record logic density : SOC power solutions are finally enabled for 700V.

700V Power Management Platform with record logic density : SOC power solutions are finally enabled for 700V. 700V Power Management Platform with record logic density : SOC power solutions are finally enabled for 700V. Dr. Shye Shapira Director of Global Power Management Research and Development TowerJazz April

More information

An Overview of Standard Cell Based Digital VLSI Design

An Overview of Standard Cell Based Digital VLSI Design An Overview of Standard Cell Based Digital VLSI Design With examples taken from the implementation of the 36-core AsAP1 chip and the 1000-core KiloCore chip Zhiyi Yu, Tinoosh Mohsenin, Aaron Stillmaker,

More information

Circuits Multi-Projets

Circuits Multi-Projets Circuits Multi-Projets 0.35µm, 0.18µm MPW services http://mycmp.fr Grenoble - France Available Processes Process Name Process Feature C35B4C3 0.35µm CMOS 3.3V / 5.0V C35B4C2 0.35µm CMOS 3.3V C35B4O1 C35B4OA

More information

CircuitsMulti-Projets

CircuitsMulti-Projets From layout to chips CircuitsMulti-Projets MPW Services Center for ICs, Photonics & MEMS Prototyping & Low Volume Production mycmp.fr Grenoble - France From layout to chips STMicroelectronics Standard

More information

150nm SOI 77K Mixed-Signal Technology ATMEL

150nm SOI 77K Mixed-Signal Technology ATMEL 150nm SOI 77K Mixed-Signal Technology ATMEL 27-Aug-12 1 2012 Copyright Atmel Corporation 9-Aug-12 INTRODUCTION - 150NM SOI ATMEL TECHNOLOGY For over 25years, ATMEL has been a leading supplier providing

More information

An overview of standard cell based digital VLSI design

An overview of standard cell based digital VLSI design An overview of standard cell based digital VLSI design Implementation of the first generation AsAP processor Zhiyi Yu and Tinoosh Mohsenin VCL Laboratory UC Davis Outline Overview of standard cellbased

More information

Technologies and Tools for µe design

Technologies and Tools for µe design Technologies and Tools for µe design What can CERN offer -PH-ESE Outline Technologies and Tools Status ASIC Testing infrastructure Packaging common needs 2 1 - ASIC Technologies CMOS 130 and 90 nm RF technologies,

More information

Lab. Course Goals. Topics. What is VLSI design? What is an integrated circuit? VLSI Design Cycle. VLSI Design Automation

Lab. Course Goals. Topics. What is VLSI design? What is an integrated circuit? VLSI Design Cycle. VLSI Design Automation Course Goals Lab Understand key components in VLSI designs Become familiar with design tools (Cadence) Understand design flows Understand behavioral, structural, and physical specifications Be able to

More information

Introduction 1. GENERAL TRENDS. 1. The technology scale down DEEP SUBMICRON CMOS DESIGN

Introduction 1. GENERAL TRENDS. 1. The technology scale down DEEP SUBMICRON CMOS DESIGN 1 Introduction The evolution of integrated circuit (IC) fabrication techniques is a unique fact in the history of modern industry. The improvements in terms of speed, density and cost have kept constant

More information

Power IC 용 ESD 보호기술. 구용서 ( Yong-Seo Koo ) Electronic Engineering Dankook University, Korea

Power IC 용 ESD 보호기술. 구용서 ( Yong-Seo Koo ) Electronic Engineering Dankook University, Korea Power IC 용 ESD 보호기술 구용서 ( Yong-Seo Koo ) Electronic Engineering Dankook University, Korea yskoo@dankook.ac.kr 031-8005-3625 Outline Introduction Basic Concept of ESD Protection Circuit ESD Technology Issue

More information

Design Solutions in Foundry Environment. by Michael Rubin Agilent Technologies

Design Solutions in Foundry Environment. by Michael Rubin Agilent Technologies Design Solutions in Foundry Environment by Michael Rubin Agilent Technologies Presenter: Michael Rubin RFIC Engineer, R&D, Agilent Technologies former EDA Engineering Manager Agilent assignee at Chartered

More information

PDK-Based Analog/Mixed-Signal/RF Design Flow 11/17/05

PDK-Based Analog/Mixed-Signal/RF Design Flow 11/17/05 PDK-Based Analog/Mixed-Signal/RF Design Flow 11/17/05 Silvaco s What is a PDK? Which people build, use, and support PDKs? How do analog/mixed-signal/rf engineers use a PDK to design ICs? What is an analog/mixed-signal/rf

More information

Synopsys Design Platform

Synopsys Design Platform Synopsys Design Platform Silicon Proven for FDSOI Swami Venkat, Senior Director, Marketing, Design Group September 26, 2017 2017 Synopsys, Inc. 1 Synopsys: Silicon to Software Software Application security

More information

Designing into a Foundry Low Power High-k Metal Gate 28nm CMOS Solution for High-Performance Analog Mixed Signal and Mobile Applications

Designing into a Foundry Low Power High-k Metal Gate 28nm CMOS Solution for High-Performance Analog Mixed Signal and Mobile Applications Designing into a Foundry Low Power High-k Metal Gate 28nm CMOS Solution for High-Performance Analog Mixed Signal and Mobile Applications A Collaborative White Paper by RAMBUS and GLOBALFOUNDRIES W h i

More information

Moore s Law: Alive and Well. Mark Bohr Intel Senior Fellow

Moore s Law: Alive and Well. Mark Bohr Intel Senior Fellow Moore s Law: Alive and Well Mark Bohr Intel Senior Fellow Intel Scaling Trend 10 10000 1 1000 Micron 0.1 100 nm 0.01 22 nm 14 nm 10 nm 10 0.001 1 1970 1980 1990 2000 2010 2020 2030 Intel Scaling Trend

More information

ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS)

ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS) ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS) Objective Part A: To become acquainted with Spectre (or HSpice) by simulating an inverter,

More information

Guidelines for Verilog-A Compact Model Coding

Guidelines for Verilog-A Compact Model Coding Guidelines for Verilog-A Compact Model Coding Gilles DEPEYROT, Frédéric POULLET, Benoît DUMAS DOLPHIN Integration Outline Dolphin EDA Solutions by Dolphin Overview of SMASH Context & Goals Verilog-A for

More information

Challenges for Non Volatile Memory (NVM) for Automotive High Temperature Operating Conditions Alexander Muffler

Challenges for Non Volatile Memory (NVM) for Automotive High Temperature Operating Conditions Alexander Muffler Challenges for Non Volatile Memory (NVM) for Automotive High Temperature Operating Conditions Alexander Muffler Product Marketing Manager Automotive, X-FAB Outline Introduction NVM Technology & Design

More information

Power management ICs for green energy applications

Power management ICs for green energy applications Power management ICs for green energy applications Close Executive Overview The power management IC (PMIC) has become a critical component in virtually every electronics product today. Much of this demand

More information

Mixed-Signal&RF -0.18μm. 0.18um Process Feature. 0.18um Process Device Feature. 0.18um Process Key Design Rule. Isolation

Mixed-Signal&RF -0.18μm. 0.18um Process Feature. 0.18um Process Device Feature. 0.18um Process Key Design Rule. Isolation Mixed-Signal/RF-0.18μm CSMC 0.18 micron process is process-matched to other foundry. CSMC logic process provide generic and low power process. 0.18 micron process provide 1.8V operation voltage core device,

More information

TUTORIAL II ECE 555 / 755 Updated on September 11 th 2006 CADENCE LAYOUT AND PARASITIC EXTRACTION

TUTORIAL II ECE 555 / 755 Updated on September 11 th 2006 CADENCE LAYOUT AND PARASITIC EXTRACTION TUTORIAL II ECE 555 / 755 Updated on September 11 th 2006 CADENCE LAYOUT AND PARASITIC EXTRACTION After finishing a schematic of your design (Tutorial-I), the next step is creating masks which are for

More information

High Performance Mixed-Signal Solutions from Aeroflex

High Performance Mixed-Signal Solutions from Aeroflex High Performance Mixed-Signal Solutions from Aeroflex We Connect the REAL World to the Digital World Solution-Minded Performance-Driven Customer-Focused Aeroflex (NASDAQ:ARXX) Corporate Overview Diversified

More information

Process Design Kit for for Flexible Hybrid Electronics (FHE-PDK)

Process Design Kit for for Flexible Hybrid Electronics (FHE-PDK) Process Design Kit for for Flexible Hybrid Electronics (FHE-PDK) Tsung-Ching Jim Huang, PhD Sr. Research Scientist, Hewlett Packard Labs MEPTEC2018 Outline Introduction Modeling and design needs for flexible

More information

Digital Integrated Circuits A Design Perspective. Jan M. Rabaey

Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Digital Integrated Circuits A Design Perspective Jan M. Rabaey Outline (approximate) Introduction and Motivation The VLSI Design Process Details of the MOS Transistor Device Fabrication Design Rules CMOS

More information

SOI REQUIRES BETTER THAN IR-DROP. F. Clément, CTO

SOI REQUIRES BETTER THAN IR-DROP. F. Clément, CTO SOI REQUIRES BETTER THAN IR-DROP F. Clément, CTO Content IR Drop Vs. System-level Interferences CWS Expertise Accuracy and Performance Silicon Validation Conclusion Copyright CWS 2004-2016 2 Sensitive

More information

DIGITAL SANDBOX WORKSHOP Summer Digital Sandbox Mission

DIGITAL SANDBOX WORKSHOP Summer Digital Sandbox Mission DIGITAL SANDBOX WORKSHOP Summer 2004 Sandbox CAD Support Digital Sandbox Mission The virtual SoC design support facility provides "industrial strength" hardware, software, EDA tools, workflows, and technical

More information

Foundry ESD Tool-set; from ESD Qualification Vehicle to ESD PDK and ESD Checkers

Foundry ESD Tool-set; from ESD Qualification Vehicle to ESD PDK and ESD Checkers Foundry ESD Tool-set; from ESD Qualification Vehicle to ESD PDK and ESD Checkers Efraim Aharoni, Roda Kanawati, Israel Rotstein, Avi Parvin, Hafez Khmaisy, Nissim Cohen TowerJazz May 6, 6, 2015 1 Outline

More information

FABRICATION TECHNOLOGIES

FABRICATION TECHNOLOGIES FABRICATION TECHNOLOGIES DSP Processor Design Approaches Full custom Standard cell** higher performance lower energy (power) lower per-part cost Gate array* FPGA* Programmable DSP Programmable general

More information

EM MICROELECTRONIC offers ALP018 for MPW service through CMP. ALP018 : Analog Low Power 180nm process, optimized for Analog and Low Voltage Designs

EM MICROELECTRONIC offers ALP018 for MPW service through CMP. ALP018 : Analog Low Power 180nm process, optimized for Analog and Low Voltage Designs EM MICROELECTRONIC offers ALP018 for MPW service through CMP Christian Terrier ALP018 : Analog Low Power 180nm process, optimized for Analog and Low Voltage Designs Creating integrated Circuits since Products

More information

MOSAID Semiconductor

MOSAID Semiconductor MOSAID Semiconductor Fabr-IC (A Single-Chip Gigabit Ethernet Switch With Integrated Memory) @Hot Chips Dave Brown Chief Architect July 4, 2001 Fabr-IC Feature summary 2 Gig ports 1 gig port for stacking

More information

Soitec ultra-thin SOI substrates enabling FD-SOI technology. July, 2015

Soitec ultra-thin SOI substrates enabling FD-SOI technology. July, 2015 Soitec ultra-thin SOI substrates enabling FD-SOI technology July, 2015 Agenda FD-SOI: Background & Value Proposition C1- Restricted July 8, 2015 2 Today Ultra-mobile & Connected Consumer At Any Time With

More information

technology Leadership

technology Leadership technology Leadership MARK BOHR INTEL SENIOR FELLOW, TECHNOLOGY AND MANUFACTURING GROUP DIRECTOR, PROCESS ARCHITECTURE AND INTEGRATION SEPTEMBER 19, 2017 Legal Disclaimer DISCLOSURES China Tech and Manufacturing

More information

ECE 261: Full Custom VLSI Design

ECE 261: Full Custom VLSI Design ECE 261: Full Custom VLSI Design Prof. James Morizio Dept. Electrical and Computer Engineering Hudson Hall Ph: 201-7759 E-mail: jmorizio@ee.duke.edu URL: http://www.ee.duke.edu/~jmorizio Course URL: http://www.ee.duke.edu/~jmorizio/ece261/261.html

More information

ESD Protection Design for Mixed-Voltage I/O Interfaces -- Overview

ESD Protection Design for Mixed-Voltage I/O Interfaces -- Overview ESD Protection Design for Mixed-Voltage Interfaces -- Overview Ming-Dou Ker and Kun-Hsien Lin Abstract Electrostatic discharge (ESD) protection design for mixed-voltage interfaces has been one of the key

More information

Technology & Manufacturing. Kevin Ritchie Senior vice president, Technology & Manufacturing

Technology & Manufacturing. Kevin Ritchie Senior vice president, Technology & Manufacturing Technology & Manufacturing Kevin Ritchie Senior vice president, Technology & Manufacturing 27 in review Manufacturing strategy continues to deliver financial results Accelerating analog leadership Increased

More information

N E W S R E L E A S E

N E W S R E L E A S E Chartered Semiconductor Manufacturing Ltd. (Regn. No.: 198703584-K ) www.charteredsemi.com 880 N. McCarthy Blvd., Ste. 100 Milpitas, California 95035 Tel: (1) 408.941.1100 Fax: (1) 408.941.1101 60 Woodlands

More information

Diodes Incorporated Corporate Direction

Diodes Incorporated Corporate Direction Diodes Incorporated Corporate Direction Vision: Create Shareholder Value Goal 1: $1B Market Cap 2010 Goal 2: $1B Annual Revenue 2017* Goal 3: $1B Gross Profit Goal 4: $1B Profit Before Tax $1B PBT $1B

More information

O N C A D E N C E V I R T U O S O. CHEN, Jason Application Engineer, Keysight Technologies

O N C A D E N C E V I R T U O S O. CHEN, Jason Application Engineer, Keysight Technologies O N C A D E N C E V I R T U O S O CHEN, Jason 2018.05.08 Application Engineer, Keysight Technologies Introduction to Momentum Momentum Features for RFIC Design Circuit/EM Cosimulation Flow on Cadence Virtuoso

More information

IO & ESD protection 1.8V & 3.3V capable general purpose digital IO pad based on 1.8V devices for TSMC 28nm CMOS technology

IO & ESD protection 1.8V & 3.3V capable general purpose digital IO pad based on 1.8V devices for TSMC 28nm CMOS technology Data sheet IO & ESD protection 1.8V & 3.3V capable general purpose digital IO pad based on 1.8V devices for TSMC 28nm CMOS technology Sofics has verified its TakeCharge ESD protection clamps on TSMC 28nm

More information

Advances in Flexible Hybrid Electronics Reliability

Advances in Flexible Hybrid Electronics Reliability Advances in Flexible Hybrid Electronics Reliability LOPEC Smart & Hybrid Systems Munich 3/29/17 This work sponsored in part by Air Force Research Laboratory, Wright-Patterson AFB, for supporting reliability

More information

TABLE OF CONTENTS 1.0 PURPOSE INTRODUCTION ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2

TABLE OF CONTENTS 1.0 PURPOSE INTRODUCTION ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2 TABLE OF CONTENTS 1.0 PURPOSE... 1 2.0 INTRODUCTION... 1 3.0 ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2 3.1 PRODUCT DEFINITION PHASE... 3 3.2 CHIP ARCHITECTURE PHASE... 4 3.3 MODULE AND FULL IC DESIGN PHASE...

More information

Technology and Manufacturing

Technology and Manufacturing Technology and Manufacturing Executive Vice President Field Trip 2006 - London, May 23rd Field Trip 2006 - London, May 23rd Technology Technology Development Centers and Main Programs CMOS Logic Platform

More information

Agilent 85194K IC-CAP BSIM4 Modeling Package

Agilent 85194K IC-CAP BSIM4 Modeling Package Agilent 85194K IC-CAP BSIM4 Modeling Package Technical Overview The BSIM4 Modeling Package The BSIM4 Modeling Package offers a complete DC-to-RF CMOS modeling toolkit for U.C. Berkeley s BSIM4 model. Developed

More information

Model Builder Program (MBP) Complete Silicon Turnkey Device Modeling Software

Model Builder Program (MBP) Complete Silicon Turnkey Device Modeling Software Model Builder Program (MBP) Complete Silicon Turnkey Device Modeling Software Introduction Model Builder Program (MBP) is a complete modeling solution that integrates SPICE simulation, model parameter

More information

Virtuoso Schematic Composer

Virtuoso Schematic Composer is a schematic design tool from Cadence. In this tutorial you will learn how to put electrical components, make wire connections, insert pins and check for connection error. Start Cadence Custom IC Design

More information

Design and verification of low power SRAM system: Backend approach

Design and verification of low power SRAM system: Backend approach Design and verification of low power SRAM system: Backend approach Yasmeen Saundatti, PROF.H.P.Rajani E&C Department, VTU University KLE College of Engineering and Technology, Udhayambag Belgaum -590008,

More information

ECE520 VLSI Design. Lecture 1: Introduction to VLSI Technology. Payman Zarkesh-Ha

ECE520 VLSI Design. Lecture 1: Introduction to VLSI Technology. Payman Zarkesh-Ha ECE520 VLSI Design Lecture 1: Introduction to VLSI Technology Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Wednesday 2:00-3:00PM or by appointment E-mail: pzarkesh@unm.edu Slide: 1 Course Objectives

More information

LEON3-Fault Tolerant Design Against Radiation Effects ASIC

LEON3-Fault Tolerant Design Against Radiation Effects ASIC LEON3-Fault Tolerant Design Against Radiation Effects ASIC Microelectronic Presentation Days 3 rd Edition 7 March 2007 Table of Contents Page 2 Project Overview Context Industrial Organization LEON3-FT

More information

Single Vendor Design Flow Solutions for Low Power Electronics

Single Vendor Design Flow Solutions for Low Power Electronics Single Vendor Design Flow Solutions for Low Power Electronics Pressure Points on EDA Vendors for Continuous Improvements To be the leader in low power electronics circuit design solutions, an EDA vendor

More information

Novel silicon-controlled rectifier (SCR) for digital and high-voltage ESD power supply clamp

Novel silicon-controlled rectifier (SCR) for digital and high-voltage ESD power supply clamp . BRIEF REPORT. SCIENCE CHINA Information Sciences February 2014, Vol. 57 029401:1 029401:6 doi: 10.1007/s11432-013-5016-1 Novel silicon-controlled rectifier (SCR) for digital and high-voltage ESD power

More information

Memory Design I. Array-Structured Memory Architecture. Professor Chris H. Kim. Dept. of ECE.

Memory Design I. Array-Structured Memory Architecture. Professor Chris H. Kim. Dept. of ECE. Memory Design I Professor Chris H. Kim University of Minnesota Dept. of ECE chriskim@ece.umn.edu Array-Structured Memory Architecture 2 1 Semiconductor Memory Classification Read-Write Wi Memory Non-Volatile

More information

CPE/EE 427, CPE 527, VLSI Design I: Tutorial #2, Schematic Capture, DC Analysis, Transient Analysis (Inverter, NAND2)

CPE/EE 427, CPE 527, VLSI Design I: Tutorial #2, Schematic Capture, DC Analysis, Transient Analysis (Inverter, NAND2) CPE/EE 427, CPE 527, VLSI Design I: Tutorial #2, Schematic Capture, DC Analysis, Transient Analysis (Inverter, NAND2) Joel Wilder, Aleksandar Milenkovic, ECE Dept., The University of Alabama in Huntsville

More information

Lecture 4a. CMOS Fabrication, Layout and Simulation. R. Saleh Dept. of ECE University of British Columbia

Lecture 4a. CMOS Fabrication, Layout and Simulation. R. Saleh Dept. of ECE University of British Columbia Lecture 4a CMOS Fabrication, Layout and Simulation R. Saleh Dept. of ECE University of British Columbia res@ece.ubc.ca 1 Fabrication Fabrication is the process used to create devices and wires. Transistors

More information

StarRC Parasitic Extraction

StarRC Parasitic Extraction Datasheet StarRC Parasitic Extraction Overview StarRC is the EDA industry s gold standard for parasitic extraction. A key component of Synopsys Galaxy Design Platform, it provides a siliconaccurate and

More information

UNIVERSITY OF WATERLOO

UNIVERSITY OF WATERLOO UNIVERSITY OF WATERLOO UW ASIC DESIGN TEAM: Cadence Tutorial Description: Part I: Layout & DRC of a CMOS inverter. Part II: Extraction & LVS of a CMOS inverter. Part III: Post-Layout Simulation. The Cadence

More information

DATASHEET ENCOUNTER LIBRARY CHARACTERIZER ENCOUNTER LIBRARY CHARACTERIZER

DATASHEET ENCOUNTER LIBRARY CHARACTERIZER ENCOUNTER LIBRARY CHARACTERIZER DATASHEET ENCOUNTER LIBRARY CHARACTERIZER Power and process variation concerns are growing for digital IC designers, who need advanced modeling formats to support their cutting-edge low-power digital design

More information

Addressable Test Chip Technology for IC Design and Manufacturing. Dr. David Ouyang CEO, Semitronix Corporation Professor, Zhejiang University 2014/03

Addressable Test Chip Technology for IC Design and Manufacturing. Dr. David Ouyang CEO, Semitronix Corporation Professor, Zhejiang University 2014/03 Addressable Test Chip Technology for IC Design and Manufacturing Dr. David Ouyang CEO, Semitronix Corporation Professor, Zhejiang University 2014/03 IC Design & Manufacturing Trends Both logic and memory

More information

VCS AMS. Mixed-Signal Verification Solution. Overview. testing with transistor-level accuracy. Introduction. Performance. Multicore Technology

VCS AMS. Mixed-Signal Verification Solution. Overview. testing with transistor-level accuracy. Introduction. Performance. Multicore Technology DATASHEET VCS AMS Mixed-Signal Verification Solution Scalable mixedsignal regression testing with transistor-level accuracy Overview The complexity of mixed-signal system-on-chip (SoC) designs is rapidly

More information

ASYNC Rik van de Wiel COO Handshake Solutions

ASYNC Rik van de Wiel COO Handshake Solutions ASYNC 2006 Rik van de Wiel COO Handshake Solutions Outline Introduction to Handshake Solutions Applications Design Tools ARM996HS Academic Program Handshake Solutions Started as research project in Philips

More information

0.6μm BiCMOS 0.6 Micron BiCMOS Technology for Analog Product Applications

0.6μm BiCMOS 0.6 Micron BiCMOS Technology for Analog Product Applications 0.6μm BiCMOS 0.6 Micron BiCMOS Technology for Analog Product Applications Description 06BC is CSMC s standard BiCMOS process platform. In addition to the double poly, double metal 0.6 micron drawn gate

More information

Nokia N90 (Toshiba ET8EA3-AS) 2.0 Megapixel CMOS Image Sensor Process Review

Nokia N90 (Toshiba ET8EA3-AS) 2.0 Megapixel CMOS Image Sensor Process Review November 21, 2005 Nokia N90 (Toshiba ET8EA3-AS) 2.0 Megapixel CMOS Image Sensor Process Review For comments, questions, or more information about this report, or for any additional technical needs concerning

More information

Solving Integration Challenges for Printed and Flexible Hybrid Electronics

Solving Integration Challenges for Printed and Flexible Hybrid Electronics Solving Integration Challenges for Printed and Flexible Hybrid Electronics SEMICON West 16 July 2015 Proprietary Information www.americansemi.com What are Flexible Hybrid Electronics 2 Flexible Hybrid

More information

CMOS Design Lab Manual

CMOS Design Lab Manual CMOS Design Lab Manual Developed By University Program Team CoreEl Technologies (I) Pvt. Ltd. 1 Objective Objective of this lab is to learn the Mentor Graphics HEP2 tools as well learn the flow of the

More information

E 4.20 Introduction to Digital Integrated Circuit Design

E 4.20 Introduction to Digital Integrated Circuit Design E 4.20 Introduction to Digital Integrated Circuit Design Peter Cheung Department of Electrical & Electronic Engineering Imperial College London URL: www.ee.ic.ac.uk/pcheung/ E-mail: p.cheung@imperial.ac.uk

More information

Microelettronica. J. M. Rabaey, "Digital integrated circuits: a design perspective" EE141 Microelettronica

Microelettronica. J. M. Rabaey, Digital integrated circuits: a design perspective EE141 Microelettronica Microelettronica J. M. Rabaey, "Digital integrated circuits: a design perspective" Introduction Why is designing digital ICs different today than it was before? Will it change in future? The First Computer

More information

AMS DESIGN METHODOLOGY

AMS DESIGN METHODOLOGY OVER VIEW CADENCE ANALOG/ MIXED-SIGNAL DESIGN METHODOLOGY The Cadence Analog/Mixed-Signal (AMS) Design Methodology employs advanced Cadence Virtuoso custom design technologies and leverages silicon-accurate

More information

On the Design of Ultra-High Density 14nm Finfet based Transistor-Level Monolithic 3D ICs

On the Design of Ultra-High Density 14nm Finfet based Transistor-Level Monolithic 3D ICs 2016 IEEE Computer Society Annual Symposium on VLSI On the Design of Ultra-High Density 14nm Finfet based Transistor-Level Monolithic 3D ICs Jiajun Shi 1,2, Deepak Nayak 1,Motoi Ichihashi 1, Srinivasa

More information

MMA043AA Datasheet 0.5 GHz 12 GHz GaAs phemt MMIC Wideband Low-Noise Amplifier

MMA043AA Datasheet 0.5 GHz 12 GHz GaAs phemt MMIC Wideband Low-Noise Amplifier MMA043AA Datasheet 0.5 GHz 12 GHz GaAs phemt MMIC Wideband Low-Noise Amplifier Microsemi Corporate Headquarters One Enterprise, Aliso Viejo, CA 92656 USA Within the USA: +1 (800) 713-4113 Outside the USA:

More information

Moore s s Law, 40 years and Counting

Moore s s Law, 40 years and Counting Moore s s Law, 40 years and Counting Future Directions of Silicon and Packaging Bill Holt General Manager Technology and Manufacturing Group Intel Corporation InterPACK 05 2005 Heat Transfer Conference

More information

CMPEN 411 VLSI Digital Circuits. Lecture 01: Introduction

CMPEN 411 VLSI Digital Circuits. Lecture 01: Introduction CMPEN 411 VLSI Digital Circuits Kyusun Choi Lecture 01: Introduction CMPEN 411 Course Website link at: http://www.cse.psu.edu/~kyusun/teach/teach.html [Adapted from Rabaey s Digital Integrated Circuits,

More information

FinFET Technology Understanding and Productizing a New Transistor A joint whitepaper from TSMC and Synopsys

FinFET Technology Understanding and Productizing a New Transistor A joint whitepaper from TSMC and Synopsys White Paper FinFET Technology Understanding and Productizing a New Transistor A joint whitepaper from TSMC and Synopsys April, 2013 Authors Andy Biddle Galaxy Platform Marketing, Synopsys Inc. Jason S.T.

More information

Modeling and Verifying Mixed-Signal Designs with MATLAB and Simulink

Modeling and Verifying Mixed-Signal Designs with MATLAB and Simulink Modeling and Verifying Mixed-Signal Designs with MATLAB and Simulink Arun Mulpur, Ph.D., MBA Industry Group Manager Communications, Electronics, Semiconductors, Software, Internet Energy Production, Medical

More information

Introduction. Summary. Why computer architecture? Technology trends Cost issues

Introduction. Summary. Why computer architecture? Technology trends Cost issues Introduction 1 Summary Why computer architecture? Technology trends Cost issues 2 1 Computer architecture? Computer Architecture refers to the attributes of a system visible to a programmer (that have

More information

ESD Protection Scheme for I/O Interface of CMOS IC Operating in the Power-Down Mode on System Board

ESD Protection Scheme for I/O Interface of CMOS IC Operating in the Power-Down Mode on System Board ESD Protection Scheme for I/O Interface of CMOS IC Operating in the Power-Down Mode on System Board Kun-Hsien Lin and Ming-Dou Ker Nanoelectronics and Gigascale Systems Laboratory Institute of Electronics,

More information

International Journal of Scientific & Engineering Research, Volume 5, Issue 2, February ISSN

International Journal of Scientific & Engineering Research, Volume 5, Issue 2, February ISSN International Journal of Scientific & Engineering Research, Volume 5, Issue 2, February-2014 938 LOW POWER SRAM ARCHITECTURE AT DEEP SUBMICRON CMOS TECHNOLOGY T.SANKARARAO STUDENT OF GITAS, S.SEKHAR DILEEP

More information

TABLE OF CONTENTS III. Section 1. Executive Summary

TABLE OF CONTENTS III. Section 1. Executive Summary Section 1. Executive Summary... 1-1 Section 2. Global IC Industry Outlook and Cycles... 2-1 IC Insights' Forecast Methodology... 2-1 Overview... 2-1 Worldwide GDP... 2-1 Electronic System Sales... 2-2

More information

Chapter 5: ASICs Vs. PLDs

Chapter 5: ASICs Vs. PLDs Chapter 5: ASICs Vs. PLDs 5.1 Introduction A general definition of the term Application Specific Integrated Circuit (ASIC) is virtually every type of chip that is designed to perform a dedicated task.

More information

Advanced Heterogeneous Solutions for System Integration

Advanced Heterogeneous Solutions for System Integration Advanced Heterogeneous Solutions for System Integration Kees Joosse Director Sales, Israel TSMC High-Growth Applications Drive Product and Technology Smartphone Cloud Data Center IoT CAGR 12 17 20% 24%

More information

A Design Tradeoff Study with Monolithic 3D Integration

A Design Tradeoff Study with Monolithic 3D Integration A Design Tradeoff Study with Monolithic 3D Integration Chang Liu and Sung Kyu Lim Georgia Institute of Techonology Atlanta, Georgia, 3332 Phone: (44) 894-315, Fax: (44) 385-1746 Abstract This paper studies

More information

Photonics Integration in Si P Platform May 27 th Fiber to the Chip

Photonics Integration in Si P Platform May 27 th Fiber to the Chip Photonics Integration in Si P Platform May 27 th 2014 Fiber to the Chip Overview Introduction & Goal of Silicon Photonics Silicon Photonics Technology Wafer Level Optical Test Integration with Electronics

More information

James Lin Vice President, Technology Infrastructure Group National Semiconductor Corporation CODES + ISSS 2003 October 3rd, 2003

James Lin Vice President, Technology Infrastructure Group National Semiconductor Corporation CODES + ISSS 2003 October 3rd, 2003 Challenges for SoC Design in Very Deep Submicron Technologies James Lin Vice President, Technology Infrastructure Group National Semiconductor Corporation CODES + ISSS 2003 October 3rd, 2003 1 Contents

More information

Memory Design I. Semiconductor Memory Classification. Read-Write Memories (RWM) Memory Scaling Trend. Memory Scaling Trend

Memory Design I. Semiconductor Memory Classification. Read-Write Memories (RWM) Memory Scaling Trend. Memory Scaling Trend Array-Structured Memory Architecture Memory Design I Professor hris H. Kim University of Minnesota Dept. of EE chriskim@ece.umn.edu 2 Semiconductor Memory lassification Read-Write Memory Non-Volatile Read-Write

More information

Cadence Virtuoso Schematic Design and Circuit Simulation Tutorial

Cadence Virtuoso Schematic Design and Circuit Simulation Tutorial Cadence Virtuoso Schematic Design and Circuit Simulation Tutorial Introduction This tutorial is an introduction to schematic capture and circuit simulation for ENGN1600 using Cadence Virtuoso. These courses

More information

A Single Poly Flash Memory Intellectual Property for Low-Cost, Low-Density Embedded Nonvolatile Memory Applications

A Single Poly Flash Memory Intellectual Property for Low-Cost, Low-Density Embedded Nonvolatile Memory Applications Journal of the Korean Physical Society, Vol. 41, No. 6, December 2002, pp. 846 850 A Single Poly Flash Memory Intellectual Property for Low-Cost, Low-Density Embedded Nonvolatile Memory Applications Jai-Cheol

More information

3D systems-on-chip. A clever partitioning of circuits to improve area, cost, power and performance. The 3D technology landscape

3D systems-on-chip. A clever partitioning of circuits to improve area, cost, power and performance. The 3D technology landscape Edition April 2017 Semiconductor technology & processing 3D systems-on-chip A clever partitioning of circuits to improve area, cost, power and performance. In recent years, the technology of 3D integration

More information

Abbas El Gamal. Joint work with: Mingjie Lin, Yi-Chang Lu, Simon Wong Work partially supported by DARPA 3D-IC program. Stanford University

Abbas El Gamal. Joint work with: Mingjie Lin, Yi-Chang Lu, Simon Wong Work partially supported by DARPA 3D-IC program. Stanford University Abbas El Gamal Joint work with: Mingjie Lin, Yi-Chang Lu, Simon Wong Work partially supported by DARPA 3D-IC program Stanford University Chip stacking Vertical interconnect density < 20/mm Wafer Stacking

More information

Chapter 2 On-Chip Protection Solution for Radio Frequency Integrated Circuits in Standard CMOS Process

Chapter 2 On-Chip Protection Solution for Radio Frequency Integrated Circuits in Standard CMOS Process Chapter 2 On-Chip Protection Solution for Radio Frequency Integrated Circuits in Standard CMOS Process 2.1 Introduction Standard CMOS technologies have been increasingly used in RF IC applications mainly

More information

New Silicon Frontiers: Physically Flexible System-on-a-Chip

New Silicon Frontiers: Physically Flexible System-on-a-Chip New Silicon Frontiers: Physically Flexible System-on-a-Chip Richard L. Chaney, Douglas R. Hackler, Kelly J. DeGregorio, Dale G. Wilson This work sponsored in part by the Rapid Response Technology Office

More information

0912GN-120E/EL/EP Datasheet E-Series GaN Transistor

0912GN-120E/EL/EP Datasheet E-Series GaN Transistor 0912GN-120E/EL/EP Datasheet E-Series GaN Transistor Microsemi Corporate Headquarters One Enterprise, Aliso Viejo, CA 92656 USA Within the USA: +1 (800) 713-4113 Outside the USA: +1 (949) 380-6100 Fax:

More information

Low Power SRAM Techniques for Handheld Products

Low Power SRAM Techniques for Handheld Products Low Power SRAM Techniques for Handheld Products Rabiul Islam 5 S. Mopac, Suite 4 Austin, TX78746 5-4-45 rabiul.islam@intel.com Adam Brand Mission College Blvd Santa Clara, CA955 48-765-546 adam.d.brand@intel.com

More information

Technology & Manufacturing. Laurent Bosson Executive Vice President Front End Technology & Manufacturing

Technology & Manufacturing. Laurent Bosson Executive Vice President Front End Technology & Manufacturing Technology & Manufacturing Laurent Bosson Executive Vice President Front End Technology & Manufacturing Manufacturing and Technology Strategy LEADING EDGE TECHNOLOGY + SHAREHOLDER VALUE TIME TO MARKET

More information

1214GN-50E/EL/EP Datasheet E-Series GaN Transistor Driver

1214GN-50E/EL/EP Datasheet E-Series GaN Transistor Driver 1214GN-50E/EL/EP Datasheet E-Series GaN Transistor Driver Microsemi Corporate Headquarters One Enterprise, Aliso Viejo, CA 92656 USA Within the USA: +1 (800) 713-4113 Outside the USA: +1 (949) 380-6100

More information

Archive Keynote Address

Archive Keynote Address Proceedings Archive March 15-18, 2015 Hilton Phoenix / Mesa Hotel Mesa, Arizona Archive Keynote Address 2015 BiTS Workshop Image: BCFC/iStock Burn-in & Test Strategies Workshop www.bitsworkshop.org March

More information

310/ ICTP-INFN Advanced Tranining Course on FPGA and VHDL for Hardware Simulation and Synthesis 27 November - 22 December 2006

310/ ICTP-INFN Advanced Tranining Course on FPGA and VHDL for Hardware Simulation and Synthesis 27 November - 22 December 2006 310/1780-18 ICTP-INFN Advanced Tranining Course on FPGA and VHDL for Hardware Simulation and Synthesis 27 November - 22 December 2006 Design Methodology Tools Jorgen CHRISTIANSEN PH-ED CERN CH-1221 Geneva

More information

Modeling of High Voltage Devices for ESD Event Simulation in SPICE

Modeling of High Voltage Devices for ESD Event Simulation in SPICE The World Leader in High Performance Signal Processing Solutions Modeling of High Voltage Devices for ESD Event Simulation in SPICE Yuanzhong (Paul) Zhou, Javier A. Salcedo Jean-Jacques Hajjar Analog Devices

More information

EITF35: Introduction to Structured VLSI Design

EITF35: Introduction to Structured VLSI Design EITF35: Introduction to Structured VLSI Design Part 1.1.2: Introduction (Digital VLSI Systems) Liang Liu liang.liu@eit.lth.se 1 Outline Why Digital? History & Roadmap Device Technology & Platforms System

More information

Mixed-Signal. From ICs to Systems. Mixed-Signal solutions from Aeroflex Colorado Springs. Standard products. Custom ASICs. Mixed-Signal modules

Mixed-Signal. From ICs to Systems. Mixed-Signal solutions from Aeroflex Colorado Springs. Standard products. Custom ASICs. Mixed-Signal modules A passion for performance. Mixed-Signal solutions from Aeroflex Colorado Springs Standard products Custom ASICs Mixed-Signal modules Circuit card assemblies Mixed-Signal From ICs to Systems RadHard ASICs

More information