VLSI Test Technology and Reliability (ET4076)

Similar documents
VLSI Testing. Virendra Singh. Bangalore E0 286: Test & Verification of SoC Design Lecture - 7. Jan 27,

VLSI Testing. Fault Simulation. Virendra Singh. Indian Institute of Science Bangalore

Lecture 3 - Fault Simulation

VLSI System Testing. Fault Simulation

Metodologie di progetto HW Il test di circuiti digitali

Metodologie di progetto HW Il test di circuiti digitali

Lecture 7 Fault Simulation

Fault Simulation. Problem and Motivation

Testing Digital Systems I

Lecture 28 IEEE JTAG Boundary Scan Standard

VLSI Test Technology and Reliability (ET4076)

VLSI Test Technology and Reliability (ET4076)

VLSI Test Technology and Reliability (ET4076)

ECE 156B Fault Model and Fault Simulation

Design and Synthesis for Test

CHAPTER 1 INTRODUCTION

Contents 1 Basic of Test and Role of HDLs 2 Verilog HDL for Design and Test

3. The high voltage level of a digital signal in positive logic is : a) 1 b) 0 c) either 1 or 0

Sequential Circuit Testing 3

Advanced Digital Logic Design EECS 303

ECE 2300 Digital Logic & Computer Organization. More Sequential Logic Verilog

Chapter 9. Design for Testability

Digital VLSI Testing Prof. Santanu Chattopadhyay Department of Electronics and EC Engineering India Institute of Technology, Kharagpur.

UNIT IV CMOS TESTING

VHDL. VHDL History. Why VHDL? Introduction to Structured VLSI Design. Very High Speed Integrated Circuit (VHSIC) Hardware Description Language

VLSI Test Technology and Reliability (ET4076)

register:a group of binary cells suitable for holding binary information flip-flops + gates

Two HDLs used today VHDL. Why VHDL? Introduction to Structured VLSI Design

Department of Electrical and Computer Engineering University of Wisconsin Madison. Fall Midterm Examination CLOSED BOOK

Digital System Design with SystemVerilog

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University

Testing Digital Systems I

FPGA Design Challenge :Techkriti 14 Digital Design using Verilog Part 1

This Lecture. Some components (useful for the homework) Verilog HDL (will continue next lecture)

Digital Systems Testing

Introduction to Field Programmable Gate Arrays

Fault-Tolerant Computing

CMOS Testing: Part 1. Outline

1/28/2013. Synthesis. The Y-diagram Revisited. Structural Behavioral. More abstract designs Physical. CAD for VLSI 2

Advanced VLSI Design Prof. Virendra K. Singh Department of Electrical Engineering Indian Institute of Technology Bombay

TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES

CS8803: Advanced Digital Design for Embedded Hardware

VHDL for Synthesis. Course Description. Course Duration. Goals

Computer Architecture (TT 2012)

Microcomputers. Outline. Number Systems and Digital Logic Review

EE434 ASIC & Digital Systems Testing

PROOFS Fault Simulation Algorithm

Very Large Scale Integration (VLSI)

RTL Power Estimation and Optimization

outline Reliable State Machines MER Mission example

Synthesis of Combinational and Sequential Circuits with Verilog

Testing And Testable Design of Digital Systems

Page 1. Outline. A Good Reference and a Caveat. Testing. ECE 254 / CPS 225 Fault Tolerant and Testable Computing Systems. Testing and Design for Test

CHAPTER - 2 : DESIGN OF ARITHMETIC CIRCUITS

6.1 Combinational Circuits. George Boole ( ) Claude Shannon ( )

Verilog. What is Verilog? VHDL vs. Verilog. Hardware description language: Two major languages. Many EDA tools support HDL-based design

Lecture 20: Package, Power, and I/O

Design Verification and Test of Digital VLSI Circuits NPTEL Video Course. Module-VIII Lecture-I Fault Simulation

ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS)

VLSI DESIGN (ELECTIVE-I) Question Bank Unit I

Collapsing for Multiple Output Circuits. Diagnostic and Detection Fault. Raja K. K. R. Sandireddy. Dept. Of Electrical and Computer Engineering,

ECE260B CSE241A Winter Logic Synthesis

Chapter 5: ASICs Vs. PLDs

VHDL simulation and synthesis

Hardware Modeling using Verilog Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur

Overview. Design flow. Principles of logic synthesis. Logic Synthesis with the common tools. Conclusions

MODELING LANGUAGES AND ABSTRACT MODELS. Giovanni De Micheli Stanford University. Chapter 3 in book, please read it.

Preizkušanje elektronskih vezij

ICS 252 Introduction to Computer Design

Lecture #1: Introduction

Combinational Logic II

Programmable Logic Devices II

(ii) Simplify and implement the following SOP function using NOR gates:

L2: Design Representations

Synthesizable Verilog

OUTLINE Introduction Power Components Dynamic Power Optimization Conclusions

Lecture 3: Modeling in VHDL. EE 3610 Digital Systems

Outline. EECS Components and Design Techniques for Digital Systems. Lec 11 Putting it all together Where are we now?

Origins of Stuck-Faults. Combinational Automatic Test-Pattern Generation (ATPG) Basics. Functional vs. Structural ATPG.

Lecture (05) Boolean Algebra and Logic Gates

Boolean Algebra and Logic Gates

Electronic Engineering Part 1 Laboratory Experiment. Digital Circuit Design 1 Combinational Logic. (3 hours)

Principles of Digital Techniques PDT (17320) Assignment No State advantages of digital system over analog system.

Hardware Description Language VHDL (1) Introduction

Testing & Verification of Digital Circuits ECE/CS 5745/6745. Hardware Verification using Symbolic Computation

Contemporary Design. Traditional Hardware Design. Traditional Hardware Design. HDL Based Hardware Design User Inputs. Requirements.

Overview. CSE372 Digital Systems Organization and Design Lab. Hardware CAD. Two Types of Chips

ECE 459/559 Secure & Trustworthy Computer Hardware Design

COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRICAL AND ELECTRONICS ENGINEERING QUESTION BANK SUBJECT CODE & NAME: EC 1312 DIGITAL LOGIC CIRCUITS UNIT I

Digital Design Methodology (Revisited) Design Methodology: Big Picture

Electronic Design Automation Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur

Lecture 15: System Modeling and Verilog

12. Use of Test Generation Algorithms and Emulation

TESTING TRI-STATE AND PASS TRANSISTOR CIRCUIT STRUCTURES. A Thesis SHAISHAV PARIKH

INSTITUTE OF AERONAUTICAL ENGINEERING Dundigal, Hyderabad ELECTRONICS AND COMMUNICATIONS ENGINEERING

ASL: Auburn Simulation Language and AUSIM: Auburn University SIMulator. Chuck Stroud Professor Electrical & Computer Engineering Auburn University

Introduction. Why Use HDL? Simulation output. Explanation

Verilog HDL. A Guide to Digital Design and Synthesis. Samir Palnitkar. SunSoft Press A Prentice Hall Title

A Fault Model for VHDL Descriptions at the Register Transfer Level *

ENG04057 Teste de Sistema Integrados. Prof. Eric Ericson Fabris (Marcelo Lubaszewski)

Transcription:

VLSI Test Technology and Reliability (ET4076) Lecture 4(part 2) Testability Measurements (Chapter 6) Said Hamdioui Computer Engineering Lab Delft University of Technology 2009-2010 1

Previous lecture What is the difference between Functional and Structural testing? Give an example What is a defect? What is a fault model? What are the common fault models? How are the following concept used for test generation Single-stuck at fault Fault equivalence Fault dominance and checkpoint theorem What are the transistor faults? VLSI Test Technology and Reliability, 2009-2010 CE Lab, TUDelft 2

Learning aims of today Describe concepts like simulation, simulation for design, simulation for test Describe the different models used for circuit simulation (gate level, timing, signals, etc) Distinguish the two different methods for logic simulation (concept, advantages, ) Distinguish the four different methods for fault simulation (concept, advantages, ) Describe alternatives for fault simulation VLSI Test Technology and Reliability, 2009-2010 CE Lab, TUDelft 3

Logic Simulation & Fault Simulation Logic Simulation Fault simulation Definition of simulation Simulation for design verification Simulation models Logic simulation Compiled-code simulation Event-driven simulation Summary Problem and motivation Simulation for Test Fault simulation algorithms Serial Parallel Deductive Concurrent Alternatives to fault simulation Random Fault Sampling Summary VLSI Test Technology and Reliability, 2009-2010 CE Lab, TUDelft 4

Logic Simulation Definition of simulation Simulation for design verification Simulation models Logic simulation Compiled-code simulation Event-driven simulation Summary VLSI Test Technology and Reliability, 2009-2010 CE Lab, TUDelft 5

Definition of simulation Definition: Simulation refers to modeling of a design, its function and performance. In electronic design, two purposes: Verify the correctness of the design Verify the tests Hardware simulator (emulator) Software simulator VLSI Test Technology and Reliability, 2009-2010 CE Lab, TUDelft 6

Definition of simulation Simulation for design verification: Validate assumptions Verify logic Verify performance (timing) Verify the specs Identify design errors Simulation at different levels: Behavioral/RTL/functional Logic Switch level Timing Circuit/layout VLSI Test Technology and Reliability, 2009-2010 CE Lab, TUDelft 7

Simulation for design verification Response analysis Specification Synthesis Design Design changes (netlist netlist) Computed responses True-value simulation Input stimuli Advantages: Details of circuit behavior can be simulation Use of hierarchy (e.g., vhdl/c before netlist) Weaknesses Incomplete: Dependency on design s heuristics E.g., adder for two 32-bit integer: 2 64 input vector (impractical) VLSI Test Technology and Reliability, 2009-2010 CE Lab, TUDelft 8

Simulation models Modules, blocks or components described by Input/output (I/O) function Delays associated with I/O signals Examples: binary adder, Boolean gates, FET, resistors and capacitors Interconnects represent Ideal signal carriers, or Ideal electrical conductors Netlist: a format (or language) that describes a design as an interconnection of modules. Netlist may use hierarchy. VLSI Test Technology and Reliability, 2009-2010 CE Lab, TUDelft 9

Simulation models Modeling level Circuit description Signal values Timing Application Function, behavior, RTL Programming language-like HDL 0, 1 Clock boundary Architectural and functional verification Logic Connectivity of Boolean gates, flip-flops and transistors 0, 1, X and Z Zero-delay unit-delay, multipledelay Logic verification and test Switch Transistor size and connectivity, node capacitances 0, 1 and X Zero-delay Logic verification Timing Transistor technology data, connectivity, node capacitances Analog voltage Fine-grain timing Timing verification Circuit Tech. Data, active/ passive component connectivity Analog voltage, current Continuous time Digital timing and analog circuit verification VLSI Test Technology and Reliability, 2009-2010 CE Lab, TUDelft 10

Simulation models.. Hierarchy connectivity Half Adder a b d HA e c f HA; inputs: a, b; outputs: c, f; AND: A1, (a, b), (c); AND: A2, (d, e), (f); OR: O1, (a, b), (d); NOT: N1, (c), (e); Full Adder A B C HA1 D E HA2 F Carry Sum FA; inputs: A, B, C; outputs: Carry, Sum; HA: HA1, (A, B), (D, E); HA: HA2, (E, C), (F, Sum); OR: O2, (D, F), (Carry); VLSI Test Technology and Reliability, 2009-2010 CE Lab, TUDelft 11

Simulation models.logic Model of MOS Circuit Static CMOS NAND Gate a b pmos FETs C a C b V DD C c c nmos FETs a b D a D b D c D a and D b are interconnect/propagation delays c C a, C b and C c are parasitic capacitances D c is inertial/switching delay of gate VLSI Test Technology and Reliability, 2009-2010 CE Lab, TUDelft 12

Simulation models.. Inertial/switching delay Simulation of a NAND gate) Inputs b a a b D a D b D c c Transient region c (CMOS) c (Zero delay) Logic simulation c (Unit delay) c (Multiple delay) c (Minmax delay) X Unknown (X) rise=5, fall=5 min =2, max =5 0 5 Time units VLSI Test Technology and Reliability, 2009-2010 CE Lab, TUDelft 13

Simulation models Modeling Signal States Two-states (0, 1) can be used for purely combinational logic with zero-delay. Three-states (0, 1, X) are essential for timing hazards and for sequential logic initialization. X: unknown value Four-states (0, 1, X, Z) are essential for MOS devices. Z: High impedance state (floating state) Z (hold previous value) 0 0 Analog signals are used for exact timing of digital logic and for analog circuits. VLSI Test Technology and Reliability, 2009-2010 CE Lab, TUDelft 14

Logic simulation True-value simulation algorithms Compiled-code simulation Event-driven simulation VLSI Test Technology and Reliability, 2009-2010 CE Lab, TUDelft 15

Logic simulation.. Compiled code simulation Circuit described in a language that can be compiled & executed (e.g. HDL, C) Signals are treated as variables Functions like AND, OR, etc are converted to statements High level functions (e.g., memory, Mux,..) are modeled as subroutines Flip-Flops are modeled as data variables VLSI Test Technology and Reliability, 2009-2010 CE Lab, TUDelft 16

Logic simulation Compiled code simulation Very effective for zero-delay combinational logic (0,1 states) Cycle based simulation Also used for cycle-accurate synchronous sequential circuits for logic verification Initialization of FFs required Efficient for highly active circuits But inefficient for low-activity circuits Generally 1-10% of signal activity in digital circuits Timing problems (e.g., glitches) are not modeled Allows inputs change only when circuit is stable i.e., allows only synchronous circuit. VLSI Test Technology and Reliability, 2009-2010 CE Lab, TUDelft 17

Logic simulation Compiled code simulation Algorithm: Step 1 Levelize combinational logic and encode in a compilable programming language Step 2: Initialize data variables (flip-flops) Step 3: For each input vector Set primary input variables Repeat (until steady-state or max. iterations) Execute compiled code Report or save computed variables VLSI Test Technology and Reliability, 2009-2010 CE Lab, TUDelft 18

Logic simulation Event-driven simulation Perform gates or modules evaluation only when necessary (input event) Event means a signal change Simulator follows the path of events Activity list Only the necessary amount of work is done significant saving of computer effort a =0 c =1 0 2 2 d = 0 e =0 2 g =0 b =0 4 f =0 VLSI Test Technology and Reliability, 2009-2010 CE Lab, TUDelft 19

Logic simulation Event-driven simulation Efficient for low-activity circuits Lower power circuits Accurate implementation of general delays models Timing verification Event scheduling Best approach for circuit debug Best in detecting hazards Can be extended for fault simulation VLSI Test Technology and Reliability, 2009-2010 CE Lab, TUDelft 20

Logic simulation Event-driven simulation a =1 c =1 0 2 b =1 g e =1 2 g =1 2 d = 0 4 f =0 0 4 8 Time, t Time stack t = 0 1 2 3 4 5 6 7 Scheduled events c = 0 d = 1, e = 0 g = 0 f = 1 Activity list d, e f, g g 8 g = 1 VLSI Test Technology and Reliability, 2009-2010 CE Lab, TUDelft 21

Logic simulation Event-driven simulation Efficiency Simulates events (value changes) only Speed up over compiled-code can be ten times or more; in large logic circuits about 0.1 to 10% gates become active for an input change Steady 0 0 to 1 event Steady 0 (no event) Large logic block without activity VLSI Test Technology and Reliability, 2009-2010 CE Lab, TUDelft 22

Summary Logic or true-value simulators are essential tools for design verification. Verification vectors and expected responses are generated (often manually) from specifications. A logic simulator can be implemented using either compiled-code or event-driven method. Per vector complexity of a logic simulator is approximately linear in circuit size. Modeling level determines the evaluation procedures used in the simulator. VLSI Test Technology and Reliability, 2009-2010 CE Lab, TUDelft 23

Fault Simulation Problem and motivation Simulation for Test Fault simulation algorithms Serial Parallel Deductive Concurrent Alternatives to fault simulation Random Fault Sampling Summary VLSI Test Technology and Reliability, 2009-2010 CE Lab, TUDelft 24

Problem and Motivation Fault simulation Problem Given: A circuit A sequence of test vectors + fault model Determine Fault coverage Fraction (or percentage) of modeled faults detected by test vectors Set of undetected faults (identify vectors without any added value) (With help of other programs, a minimal test set for a given fault coverage for manufacturing test) Motivation Determine test quality and in turn product quality Development of manufacturing test program VLSI Test Technology and Reliability, 2009-2010 CE Lab, TUDelft 25

Simulation for Test Fault simulator Verified design netlist Fault simulator Verification input stimuli Test vectors Modeled fault list Remove tested faults Test compactor Delete vectors Fault coverage? Stop Low Adequate Test generator Add vectors Fault coverage = Detected faults / Total nr. of faults Deals with the behavior of fabricated circuit It determine the FC of each input vector It can generate the required test set for a given FC VLSI Test Technology and Reliability, 2009-2010 CE Lab, TUDelft 26

Simulation for Test...Scenario Circuit model: mixed-level Mostly logic with some switch-level for highimpedance (Z) and bidirectional signals High-level models (memory, etc.) with pin faults Signal states: logic Two (0, 1) or three (0, 1, X) states for purely Boolean logic circuits Four states (0, 1, X, Z) for sequential MOS circuits Timing: Zero-delay for combinational and synchronous circuits Mostly unit-delay for circuits with feedback VLSI Test Technology and Reliability, 2009-2010 CE Lab, TUDelft 27

Simulation for Test...Faults Mostly single stuck-at faults Sometimes stuck-open, transition, and path-delay faults Analog circuit fault simulators are not yet in common use Equivalence fault collapsing of single stuck-at faults Fault-dropping -- a fault once detected is dropped from consideration as more vectors are simulated; fault-dropping may be suppressed for diagnosis Fault sampling -- a random sample of faults is simulated when the circuit is large VLSI Test Technology and Reliability, 2009-2010 CE Lab, TUDelft 28

Fault Simulation Algorithms Fault simulation time is much greater than that of design verification! Serial fault free simulation + fault injection & simulation for each fault Parallel Use bit-parallelism of logical operation in a digital computer Deductive Deduce all signal values in each faulty circuit from simulated fault-free circuit Concurrent Simulating the fault-free circuit and concurrently simulating the faulty circuit only if the faulty circuit s activity actually differs from that of the fault-free circuit (Event driven) VLSI Test Technology and Reliability, 2009-2010 CE Lab, TUDelft 29

Fault Simulation Serial Algorithm Algorithm: Simulate fault-free circuit and save responses. Repeat following steps for each fault in the fault list: Modify netlist by injecting one fault Simulate modified netlist, vector by vector, comparing responses with saved responses If response differs, report fault detection and suspend simulation of remaining vectors Advantages: Easy to implement; needs only a true-value simulator, less memory Can simulate any fault that can injected in the circuit description (e.g., Stuck-at-faults, bridging faults, stuckopen fault, delay fault, analog faults) VLSI Test Technology and Reliability, 2009-2010 CE Lab, TUDelft 30

Fault Simulation Serial Algorithm Disadvantage: Much repeated computation; CPU time prohibitive for VLSI circuits For n faults, the CPU time may be n time that of true-value (golden) simulator Alternative: Simulate many faults together Test vectors Fault-free circuit Comparator f1 detected? Circuit with fault f1 Comparator f2 detected? Circuit with fault f2 Comparator fn detected? Circuit with fault fn VLSI Test Technology and Reliability, 2009-2010 CE Lab, TUDelft 31

Fault Simulation Parallel Algorithm Exploits inherent bit-parallelism of logical operations on computer words Multi-pass simulation: Each pass simulates w-1 new faults, where w is the machine word length E.g., w=32 bit word; Simulate 31 faulty circuits and a golden circuit in parallel Single fault injected and simulated in parallel for the same patterns Most effective when: Signals assumed to take two-states (0,1) Gates assumed to all have the same delay (zero or unit) VLSI Test Technology and Reliability, 2009-2010 CE Lab, TUDelft 32

Fault Simulation Parallel Algorithm Storage: one word per line for two-state simulation Very fast in practice for combinational circuits. Speed up over serial method ~ w-1 Not suitable for circuits with timing-critical and non-boolean logic Compiled code or even driven simulation VLSI Test Technology and Reliability, 2009-2010 CE Lab, TUDelft 33

Fault Simulation Parallel Algorithm Example: Assume a computer with three bit word Bit 0: fault-free circuit Bit 1: circuit with c s-a-0 Bit 2: circuit with f s-a-1 a b 1 1 1 1 1 1 1 0 1 c s-a-0 e 0 0 0 1 0 1 c s-a-0 detected 1 0 1 g d f s-a-1 0 0 1 f s-a-1 NOT detected VLSI Test Technology and Reliability, 2009-2010 CE Lab, TUDelft 34

Fault Simulation Deductive Algorithm One-pass simulation: Only fault free circuit simulated Explicitly simulating only the behavior of the fault-free circuit Simultaneously deducing from the current good state of the circuit all faults that are detectable at any internal or output line Following true-value simulation of each vector, fault lists of all gate output lines are updated using set-theoretic rules, signal values, and gate input fault lists Results for each faulty circuit are deduced PO fault lists provide detection data Each line k contains a list L k of faults detectable on k Circuit model: Signals assumed to take two-states (0,1) Boolean gates assumed to all have the same delay (or unit) VLSI Test Technology and Reliability, 2009-2010 CE Lab, TUDelft 35

Fault Simulation Deductive Algorithm Advantages Efficient in processing all faults at the same time Compiled code or even driven simulation Tremendous speed up Limitations: Set-theoretic rules difficult to derive for non- Boolean gates Only suitable for zero or unit delay Gate delays are difficult to use Unknown faults are not easy handled Requiring large storage. Size of fault lists cannot be predicted in advance VLSI Test Technology and Reliability, 2009-2010 CE Lab, TUDelft 36

Fault Simulation Deductive Algorithm Rules for fault list propagation VLSI Test Technology and Reliability, 2009-2010 CE Lab, TUDelft 37

Fault Simulation Deductive Algorithm Example: Notation: L k is fault list for line k ; k n is s-a-n fault on line k Applied vector is ab=11 Simulate all s-a-1 and s-a-0 for all lines First: golden simulation to determine all signal values Second: fault list generation and propagation L a ={a 0 } L e = L a U L c U {e 0 } 1 a = {a 0, b 0, c 0, e 0 } 1 L c ={b 0,c 0 } b e 1 L b ={b 0 } c d L d ={b 0, d 0 } f VLSI Test Technology and Reliability, 2009-2010 CE Lab, TUDelft 38 0 L f = L d U {f 1 } = {b 0, d 0, f 1 } 1 g L g = (L e L f ) U {g 0 } U = {a 0, c 0, e 0, g 0 } Faults detected by the input vector

Fault Simulation Concurrent Algorithm Event-driven simulation of fault-free circuit and (concurrently) only those parts of the faulty circuit that differ in signal states from the fault-free circuit. Event scheduling and processing All events of fault-free and all faulty circuits are implicitly simulated. A list per gate containing copies of the gate from all faulty circuits in which this gate differs. List element contains fault ID, gate input and output values and internal states, if any. VLSI Test Technology and Reliability, 2009-2010 CE Lab, TUDelft 39

Fault Simulation Concurrent Algorithm Most general method of fault simulation Handles various types of circuits models, faults, signal states and timing models (offers most flexibility.) Directly applicable to functional-level and mixed-level modeling. Requiring even more memory space. VLSI Test Technology and Reliability, 2009-2010 CE Lab, TUDelft 40

Fault Simulation Concurrent Algorithm Perform the golden simulation with 11 as input To each good gate, a number of bad gates are attached At the PO, any bad gate whose output is different from of good gate indicates a fault detection a 0 b 0 c 0 e 0 a b 1 1 d c 1 1 1 0 1 f 1 e b 0 d 0 f 1 1 0 1 0 1 1 1 0 VLSI Test Technology and Reliability, 2009-2010 CE Lab, TUDelft 41 0 1 1 0 0 1 1 0 0 1 g a 0 b 0 c 0 e 0 0 0 0 0 1 1 0 0 0 0 0 0 1 0 0 1 1 0 0 1 1 1 1 1 1 g 0 f 1 d 0 0

Alternatives to fault simulation Fault Sampling Popular technique to reduce fault simulation effort A randomly selected subset (sample) of faults is picked and simulated. A sample coverage is measured is used to estimate fault coverage in the entire circuit. Accuracy depends on the sample size. Advantage: Saving in computing resources (CPU time and memory.) Disadvantage: Limited data on undetected faults. VLSI Test Technology and Reliability, 2009-2010 CE Lab, TUDelft 42

Alternatives to fault simulation Motivation for Sampling Complexity of fault simulation depends on: Number of gates Number of faults Number of vectors Complexity of fault simulation with fault sampling depends on: Number of gates Number of vectors The method has significant advantages in reducing CPU time and memory needs of the simulator. VLSI Test Technology and Reliability, 2009-2010 CE Lab, TUDelft 43

Alternatives to fault simulation Random Sampling Model Detected fault Undetected fault All faults with a fixed but unknown coverage Random picking N p = total number of faults (population size) C = fault coverage (unknown) N s = sample size N s << N p c = sample coverage (a random variable) VLSI Test Technology and Reliability, 2009-2010 CE Lab, TUDelft 44

Summary Fault simulator is an essential tool for test development. Four fault simulation algorithms Serial, Parallel, Deductive, Concurrent Concurrent fault simulation algorithm offers the best choice. For large circuits, the accuracy of random fault sampling only depends on the sample size (1,000 to 2,000 faults) and not on the circuit size. The method has significant advantages in reducing CPU time and memory needs of the simulator. VLSI Test Technology and Reliability, 2009-2010 CE Lab, TUDelft 45

Next lecture we will talk about Testability measures and Automated Test Pattern Generation (ATPG) for Combinational Circuits VLSI Test Technology and Reliability, 2009-2010 CE Lab, TUDelft 46