Accelerating Innovation

Similar documents
Synopsys Design Platform

Introduction 1. GENERAL TRENDS. 1. The technology scale down DEEP SUBMICRON CMOS DESIGN

TABLE OF CONTENTS III. Section 1. Executive Summary

Embedded Hardware and Software

VLSI Design Automation

VLSI Design Automation

VLSI Design Automation. Calcolatori Elettronici Ing. Informatica

Signs of Intelligent Life: AI Simplifies IoT

An Executive View of Trends and Technologies in Electronics

Design and Technology Trends

Introduction to ICs and Transistor Fundamentals

HPC Technology Trends

Technology Platform Segmentation

Ushering in the 3D Memory Era with V- NAND

Optimize your system designs using Flash memory

More Course Information

Will Everything Start To Look Like An SoC?

Lab. Course Goals. Topics. What is VLSI design? What is an integrated circuit? VLSI Design Cycle. VLSI Design Automation

The Many Flavors of NAND and More to Come

Dr. Ajoy Bose. SoC Realization Building a Bridge to New Markets and Renewed Growth. Chairman, President & CEO Atrenta Inc.

Introduction. Summary. Why computer architecture? Technology trends Cost issues

technology Leadership

VLSI Design Automation. Maurizio Palesi

CMPEN 411 VLSI Digital Circuits. Lecture 01: Introduction

Moore s Law: Alive and Well. Mark Bohr Intel Senior Fellow

November 11, 2009 Chang Kim ( 김창식 )

FABRICATION TECHNOLOGIES

Place Your Logo Here. K. Charles Janac

Korea Semiconductor Test Now and the Future Tim Moriarty

S2C K7 Prodigy Logic Module Series

Future Matters US Disclosure

Multimedia in Mobile Phones. Architectures and Trends Lund

Driving Semiconductor Industry Optimization From. Walden C. Rhines. CHAIRMAN & CEO Mentor Graphics Corporation

Design Solutions in Foundry Environment. by Michael Rubin Agilent Technologies

Soitec ultra-thin SOI substrates enabling FD-SOI technology. July, 2015

On-chip Networks Enable the Dark Silicon Advantage. Drew Wingard CTO & Co-founder Sonics, Inc.

Samsung System LSI Business

High Capacity and High Performance 20nm FPGAs. Steve Young, Dinesh Gaitonde August Copyright 2014 Xilinx

Design Techniques for Implementing an 800MHz ARM v5 Core for Foundry-Based SoC Integration. Faraday Technology Corp.

Supporting Advanced-Node FinFET SoCs with 16Gbps Multi-Protocol SerDes PHY IP

EE586 VLSI Design. Partha Pande School of EECS Washington State University

What is This Course About? CS 356 Unit 0. Today's Digital Environment. Why is System Knowledge Important?

DFT Trends in the More than Moore Era. Stephen Pateras Mentor Graphics

High Performance Mixed-Signal Solutions from Aeroflex

N E W S R E L E A S E

ECE484 VLSI Digital Circuits Fall Lecture 01: Introduction

Apache s Power Noise Simulation Technologies

Emergence of Segment-Specific DDRn Memory Controller and PHY IP Solution. By Eric Esteve (PhD) Analyst. July IPnest.

Will Everything Start To Look Like An SoC?

2009 International Solid-State Circuits Conference Intel Paper Highlights

Lecture (02) x86 programming 1

A C H I E V E B O T H W I T H K E Y S I G H T. Company Profile

System-on-Chip Architecture for Mobile Applications. Sabyasachi Dey

Taming the Challenges of Advanced-Node Design. Tom Beckley Sr. VP of R&D, Custom IC and Signoff, Silicon Realization Group ISQED 2012 March 20, 2012

ECE 261: Full Custom VLSI Design

Simplify System Complexity

SoC Memory Interfaces. Today and tomorrow at TSMC 2013 TSMC, Ltd

Providing Fundamental ICT Skills for Syrian Refugees PFISR

HW Trends and Architectures

HISTORY OF MICROPROCESSORS

Mary Yeoh Intel Penang Design Center (ipdc) Intel Corporation Penang, Malaysia

Intel s s Memory Strategy for the Wireless Phone

ECE520 VLSI Design. Lecture 1: Introduction to VLSI Technology. Payman Zarkesh-Ha

OUTLINE. System-on-Chip Design ( ) System-on-Chip Design for Embedded Systems ( ) WHAT IS A SYSTEM-ON-CHIP?

Trend in microelectronics The design process and tasks Different design paradigms Basic terminology The test problems

Does FPGA-based prototyping really have to be this difficult?

Continuing Moore s law

Credit Suisse 18 th Annual Technology Conference

Flash Memory Overview: Technology & Market Trends. Allen Yu Phison Electronics Corp.

90-nm To 10-nm Physical IP For Wearable Devices & Application Processors Navraj Nandra Synopsys, Inc. All rights reserved. 1

Multi-Core Microprocessor Chips: Motivation & Challenges

Agenda. Thesis Industry and Company Overview Product Pipeline and Drivers Competition Risks Q&A DCF- Bitching time

Common Platform Ecosystem Enablement

IMPROVES. Initial Investment is Low Compared to SoC Performance and Cost Benefits

Total IP Solution for Mobile Storage UFS & NAND Controllers

Designing with External Flash Memory on Renesas Platforms

Sean Maloney Executive Vice President

DesignWare IP for IoT SoC Designs

Samsung Electronics Announces First Quarter Results

DSP. Mike Hames. Senior Vice President Application-Specific Products

AMD Opteron Processors In the Cloud

Rich Sevcik Executive Vice President, Xilinx APAC: RS _January 05

Silicon Motion Technology Corporation

Introducing the FX-14 ASIC Design System. Embargoed until November 10, 2015

Kaufman Brothers 13 th Annual Investor Conference

3D systems-on-chip. A clever partitioning of circuits to improve area, cost, power and performance. The 3D technology landscape

Announcements. Advanced Digital Integrated Circuits. No office hour next Monday. Lecture 2: Scaling Trends

Toward a Memory-centric Architecture

NAND Flash Memory. Jinkyu Jeong Computer Systems Laboratory Sungkyunkwan University

Microelettronica. J. M. Rabaey, "Digital integrated circuits: a design perspective" EE141 Microelettronica

Building blocks for 64-bit Systems Development of System IP in ARM

Homeschool Enrichment. The System Unit: Processing & Memory

PUSHING THE LIMITS, A PERSPECTIVE ON ROUTER ARCHITECTURE CHALLENGES

SYSTEM BUS AND MOCROPROCESSORS HISTORY

Introduction to Microprocessor

A 50% Lower Power ARM Cortex CPU using DDC Technology with Body Bias. David Kidd August 26, 2013

Memory Industry Dynamics & Market Drivers Memory Market Segments Memory Products Dynamics Memory Status 2007 Key Challenges for 2008 Future Trends

Accelerating the RISC-V Revolution: Unleashing Custom Silicon with Revolutionary Design Platforms and Custom Accelerators

Memory Industry Report T A B L E O F C O N T E N T S

CADENCE DESIGN SYSTEMS, INC. Second Quarter 2018 Financial Results Conference Call

Transcription:

Accelerating Innovation In the Era of Exponentials Dr. Chi-Foon Chan President and co-chief Executive Officer, Synopsys, Inc. August 27, 2013 ASQED 1

Accelerating Technology Innovation Exciting time to be an Engineer The Era of Electronics Technology The Future Ahead ASQED 2

$1.76B FY12 Revenue ~84 Offices Worldwide System Design ~8,195 Employees ~4,431 Masters/ PhD Degrees Verification IP Implementation ~31% R&D/Revenue ~5,129 R&D Engineers ~1,100 Application Consultants ~1,889 Issued Patents ASQED 3 Manufacturing Advanced Technology Leadership Delivers Benefits at Every Node

What Happens in 204 Million Emails Sent >2 Million Search Queries 6 Million Facebook Views And the Future Growth is Exponential Today, the number of = the global networked devices population 1.3 Million Video Views By 2017, the number of devices 3x connected to IP networks will be as high as the global population ASQED 4 Source: Cisco Systems, VNI Global Mobile Data Traffic Forecast Update 2013 and Intel SmartTech Blog

Moore s Law Continues 1.0E+12 Transistor Count Trends Transistors per Die 1.0E+11 1.0E+10 1.0E+9 1.0E+8 1.0E+7 1.0E+6 1.0E+5 DRAM NAND Flash (SLC) NAND Flash (MLC) Intel PC MPU Intel Server MPU 64Kb 256Kb 80286 1Mb 80386 4Mb 80486 16Gb 256Gb MLC/128Gb SLC 128Gb MLC/64Gb SLC 64Gb 4Gb 4Gb "Poulson" 2Gb "Tukwila" "Broadwell" 1Gb Itanium 2 "Ivy Bridge" "Gulftown" 256Mb Itanium 2 Core i7 "Bloomfield" Core 2 Duo 64Mb Pentium 4 "Prescott" Itanium Pentium 4 16Mb Pentium III Pentium II Pentium Pro Pentium 8Gb 1.0E+4 1.0E+3 4Kb 1Kb 8008 4004 16Kb 8080 8085 8086 1.0E+2 70 72 74 76 78 80 82 84 86 88 90 92 94 96 98 00 02 04 06 08 10 12 14F 16F Source: Intel, SIA, IC Insights 2012 ASQED 5 Year

Designs Are Larger and Faster 60,000 800 MHz 50,000 700 600 Gate Count, K Gates 40,000 30,000 20,000 500 400 300 200 Clock Frequency 10,000 100 0 2002 2003 2004 2005 2006 2007 2008 2009 2010 2011 2012 0 Expon. (Gate Count, K Gates) Expon. (Clock Frequency, MHz) Source: Synopsys Global User Survey, 2002-2012 ASQED 6

Power Is a Growing Problem 1000 800 Leakage Power Dynamic Power W/cm 2 600 400 200 0 90nm 65nm 40nm 28nm 20nm Source: IBS ASQED 7

Functional Verification Costs Are Exploding $6.0B $5.0B Engineering Effort IT Infrastructure for Verification $4.0B $3.0B $2.0B $1.0B $0.0B 2001 2002 2003 2004 2005 2006 2007 2008 2009 2010 2011 2012 2013 Source: VCS User Companies, Synopsys ASQED 8

Chip Development Costs Are Increasing $300 $250 $200 Chip Design Software Dev. +116% Cost ($M) $150 $100 +82% +96% $50 $0 +44% +75% +62% +54% 65nm (90M) 45/40nm (130M) 28nm (180M) 22/20nm (240M) 16/14nm (310M) Feature Dimension (Transistor Count) Source: IBS, December 2012 ASQED 9

Advanced Designs at Every Node 500 1 st to tapeout at 65nm 1 st to tapeout at 45/40nm 1 st to tapeout at 32/28nm 1 st to tapeout at 22/20nm 450 400 350 300 250 200 150 100 50 0 Q3'03 Q4'03 Q1'04 Q2'04 Q3'04 Q4'04 Q1'05 Q2'05 Q3'05 Q4'05 Q1'06 Q2'06 Q3'06 Q4'06 Q1'07 Q2'07 Q3'07 Q4'07 Q1'08 Q2'08 Q3'08 Q4'08 Q1'09 Q2'09 Q3'09 Q4'09 Q1'10 Q2'10 Q3'10 Q4'10 Q1'11 Q2'11 Q3'11 Q4'11 Q1'12 Q2'12 Q3'12 Q4'12 Q1'13 Q2'13 90nm Advanced Tapeout Counts 65nm ASQED 10 45/40nm 32/28nm 22/20nm 16/14nm 10nm

Companies Working Hard to Differentiate 08 09 10 11 12 Recession Recovery Uncertainty ASQED 11 Semi Snapshot as of 7/23/2013

Source: IC Insights Report, August 02, 2013. ASQED 12

Source: IC Insights Report, August 02, 2013. ASQED 13

Buys Buys Forces Driving Consolidation Buys Buys - Wireless Critical Mass Differentiation Collaboration Shaping the Industry Buys Buys Buys Buys Buys Semiconductor MODEM Buys Buys Accelerating Innovation Buys Buys Buys ASQED 14

Accelerating Technology Innovation Differentiation The Era of Electronics Technology The Future Ahead ASQED 16

Prototyping Enables Earlier Software Development Traditional Flow Software Stack Synopsys Virtual Prototype Development Effort Hardware Development Software Development Time to Market Integration & Test Product Support & Maintenance Time in Market Prototype A simulation model for the targeted hardware Development Effort Software Higher Productivity Integration & Test Hardware Earlier TTM With Virtual Prototyping Higher Quality Product Support & Maintenance Time to Market Time in Market ASQED 17

Increasing Use of Silicon IP and Silicon IP Subsystems Application Processor Application CPU Application CPU RAM RAM ROM Graphic Core(s) Specialty I/O LVDS, Etc Graphics Processors I/O RAM RAM RAM RAM Flash Interface Flash Storage Memory I/Fs On-Chip Bus & Others Basic Peripherals (UARTs/Timers) RAM On-Chip Bus RAM RAM RAM DDR Interface DDR memory Memories & Logic Libraries Interfaces Interface Data Converters Analog Audio / Video I/F Headset Jack Microphone Audio Codecs Video Codecs USB PCIe SATA HDMI MIPI * Small boxes are standard cell library elements. ASQED 18 Analog Deeply embedded processors

Increasing Design Complexity 3 rd Party IP Usage Will Continue to Double Through 2017 2017 2012 Consumer Escalating Design Costs Wireless Communication Shorter Time Window for New Product Launch Data Processing Automotive Overall 3 rd party design IP use in 2012 Industrial Strong Growth in 3 rd Party IP Usage Wired Communication 0% 15% 30% 45% 60% 75% 90% Percentage of 3 rd Party IP Block Overall 3 rd party design IP use in 2017 Source: Gartner, Semi IP Market, March 2013 ASQED 19

Evolution of Implementation Technology 2002 Correlation 2005 Look-Ahead 2009 In-Design 2011 Exploration 2012 Co-Design Synthesis Synthesis Synthesis Synthesis Synthesis Signoff Design Planning Place & Route Signoff Place & Route Signoff Place & Route Signoff Place & Route Signoff Place & Route Custom DRC / LVS DRC / LVS DRC / LVS DRC / LVS DRC / LVS ASQED 20

Below 22nm Requires Advanced Solutions FinFET Double Patterning (DPT) Power Density / Integration Performance Performance Power Power Area 3D-IC Density / Integration Power Performance Delivering More Performance with Less Power Performance in a Smaller Area ASQED 21

FinFET Technology Must Be Supported Across the Entire SoC Design Process Gate Process Develop. Characterization Design Implementation IP TCAD Circuit Simulation Custom Design Implementation >= DPT spacing C 1 Trench Contact V0 M0 C2 C 3 Fin Sourc e C4 Fin Drain C5 Substrate 3D Lithography Extraction Physical Verification Signoff ASQED 22

a b c d a c b d TH TH SoC Design Requires Advanced Advanced multi-voltage techniques Low Power Design Techniques Multi-Voltage Power Gating (Shutdown) DVFS, AVFS Advanced Low Power Mainstream intent-driven techniques Well Biasing EN CLK Clock Gating FF LT ICG Low-VDD Standby Techniques Gate-Level Opt. Architect. Opt. Multi-Threshold Synthesis-based optimization techniques 16 bit 64 bit Ripple 90 366 CLA 100 405 Carry Skip 108 437 Carry Select 161 711 Carry Save 218 1323 Leakage Current Low V TH TH Nominal V Delay TH TH High V Basic ASQED 23

The Problem in Verification Is Time & Cost Heavy Setup and Debug Activity Issue is Engr. Resource and Time Heavy Regression Activity Issue is Compute Cost and Time 2 3 1 Verification Reuse Debug Automation Faster Simulation Project Time Bugs Found Compute Cycles ASQED 24

Comprehensive SoC Verification Platform Manages Time-to-market & Verification Complexity Technology Must Address Performance Capacity Accuracy Productivity Standards Digital Low-Power AMS HW/SW ASQED 25

Accelerating Technology Innovation Collaboration 1. Industry The Era of Electronics 2. University 3. Government Technology The Future Ahead ASQED 26

Environmental Legal Ethical Technology Economics Different Disciplines ASQED 27

The Economist Morals and the Machine Teaching robots right from wrong June 2, 2012 Economist.com ASQED 28

A Car or a Computer on Four Wheels? California becomes latest state to OK driverless cars September 25, 2012 Sources: USA TODAY, California becomes latest state to OK driverless cars, September 25, 2012. The Economist, Look, no hands, September 1, 2012. ASQED 29

The New Green Hub Shifting Gears to Sustainable Development Source: Ecofriend.com Urban Reforestation: Sky-bridges & green connectors to give a new skyline to Kuala Lumpur ASQED 30

Purpose + Plan + Our Part How will we impact the future and accelerate innovation? Economy Technology Environmental Legal, Ethical Responsibility ASQED 31

ASQED 32 Thank You