Mentor Graphics Solutions Enable Fast, Efficient Designs for Altera s FPGAs. Fall 2004

Similar documents
Park Sung Chul. AE MentorGraphics Korea

Cover TBD. intel Quartus prime Design software

Cover TBD. intel Quartus prime Design software

Programmable Logic Devices HDL-Based Design Flows CMPE 415

A Deterministic Flow Combining Virtual Platforms, Emulation, and Hardware Prototypes

System Level Design with IBM PowerPC Models

Accelerating FPGA/ASIC Design and Verification

Philip Andrew Simpson. FPGA Design. Best Practices for Team-based Reuse. Second Edition

An introduction to CoCentric

8. Best Practices for Incremental Compilation Partitions and Floorplan Assignments

DIGITAL DESIGN TECHNOLOGY & TECHNIQUES

Early Models in Silicon with SystemC synthesis

ALTERA FPGAs Architecture & Design

ASIC world. Start Specification Design Verification Layout Validation Finish

Reducing the cost of FPGA/ASIC Verification with MATLAB and Simulink

Best Practices for Incremental Compilation Partitions and Floorplan Assignments

EEM870 Embedded System and Experiment Lecture 4: SoC Design Flow and Tools

Cadence FPGA System Planner technologies are available in the following product offerings: Allegro FPGA System Planner L, XL, and GXL

Design and Verification of FPGA Applications

Chapter 5: ASICs Vs. PLDs

Incisive Enterprise Verifier

Overview of Digital Design with Verilog HDL 1

FPGA ADVANTAGE FOR HDL DESIGN

01-1 Electronic Design Automation (EDA) The use of software to automate electronic (digital and analog) design.

Cell-Based Design Flow. TA : 吳廸優

Contents 1 Introduction 2 Functional Verification: Challenges and Solutions 3 SystemVerilog Paradigm 4 UVM (Universal Verification Methodology)

Shortest path to the lab. Real-world verification. Probes provide observability

Design Creation & Synthesis Division Avoid FPGA Project Delays by Adopting Advanced Design Methodologies

Compiler User Guide. Intel Quartus Prime Pro Edition. Updated for Intel Quartus Prime Design Suite: Subscribe Send Feedback

Hardware Modelling. Design Flow Overview. ECS Group, TU Wien

Making the Most of your MATLAB Models to Improve Verification

Lecture 1: Introduction Course arrangements Recap of basic digital design concepts EDA tool demonstration

Intel Quartus Prime Pro Edition User Guide

FPGA briefing Part II FPGA development DMW: FPGA development DMW:

Allegro Design Authoring

Is SystemVerilog Useful for FPGA Design & Verification?

ISE Design Suite Software Manuals and Help

Schematic/Design Creation

Mixed Signal Verification Transistor to SoC

Connecting MATLAB & Simulink with your SystemVerilog Workflow for Functional Verification

ALTERA FPGA Design Using Verilog

The Application of SystemC to the Design and Implementation of a High Data Rate Satellite Transceiver

Design and Verification of FPGA and ASIC Applications Graham Reith MathWorks

Quartus II Prime Foundation

Agenda. How can we improve productivity? C++ Bit-accurate datatypes and modeling Using C++ for hardware design

Evolution of CAD Tools & Verilog HDL Definition

Model-Based Design for effective HW/SW Co-Design Alexander Schreiber Senior Application Engineer MathWorks, Germany

World Class Verilog & SystemVerilog Training

VCS AMS. Mixed-Signal Verification Solution. Overview. testing with transistor-level accuracy. Introduction. Performance. Multicore Technology

Model-Based Design for Video/Image Processing Applications

RTL Coding General Concepts

ESE Back End 2.0. D. Gajski, S. Abdi. (with contributions from H. Cho, D. Shin, A. Gerstlauer)

01 1 Electronic Design Automation (EDA) the correctness, testability, and compliance of a design is checked by software

Hierarchical Design Using Synopsys and Xilinx FPGAs

Lab 3 Verilog Simulation Mapping

EE595. Part VIII Overall Concept on VHDL. EE 595 EDA / ASIC Design Lab

2. Mentor Graphics ModelSim and QuestaSim Support

Intel Quartus Prime Standard Edition Handbook Volume 3

For a long time, programming languages such as FORTRAN, PASCAL, and C Were being used to describe computer programs that were

Appendix SystemC Product Briefs. All product claims contained within are provided by the respective supplying company.

Abstraction Layers for Hardware Design

Intel Quartus Prime Pro Edition User Guide

EE 4755 Digital Design Using Hardware Description Languages

FPGA Based Digital Design Using Verilog HDL

Evaluation of the RTL Synthesis Tools for FPGA/PLD Design. M.Matveev. Rice University. August 10, 2001

INTRODUCTION TO CATAPULT C

A New Electronic System Level Methodology for Complex Chip Designs

Digital Design Methodology (Revisited) Design Methodology: Big Picture

Hardware Implementation and Verification by Model-Based Design Workflow - Communication Models to FPGA-based Radio

Comprehensive Place-and-Route Platform Olympus-SoC

Overview of Digital Design Methodologies

Advanced FPGA Design Methodologies with Xilinx Vivado

Don t expect to be able to write and debug your code during the lab session.

DESIGN STRATEGIES & TOOLS UTILIZED

VHDL Essentials Simulation & Synthesis

CSE P567 - Winter 2010 Lab 1 Introduction to FGPA CAD Tools

FPGA-Based Rapid Prototyping of Digital Signal Processing Systems

Advanced Synthesis Techniques

C-Based SoC Design Flow and EDA Tools: An ASIC and System Vendor Perspective

EE 4755 Digital Design Using Hardware Description Languages

NEW FPGA DESIGN AND VERIFICATION TECHNIQUES MICHAL HUSEJKO IT-PES-ES

Chapter 2 Getting Hands on Altera Quartus II Software

Sunburst Design - Comprehensive SystemVerilog Design & Synthesis by Recognized Verilog & SystemVerilog Guru, Cliff Cummings of Sunburst Design, Inc.

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University

FPGA system development What you need to think about. Frédéric Leens, CEO

FPGA for Software Engineers

Intel Quartus Prime Pro Edition Software and Device Support Release Notes

CMPE 415 Programmable Logic Devices Introduction

Graduate Institute of Electronics Engineering, NTU FPGA Design with Xilinx ISE

Lattice Semiconductor Design Floorplanning

Integrated Workflow to Implement Embedded Software and FPGA Designs on the Xilinx Zynq Platform Puneet Kumar Senior Team Lead - SPC

Digital Design Methodology

100M Gate Designs in FPGAs

Hardware Design Verification: Simulation and Formal Method-Based Approaches William K Lam Prentice Hall Modern Semiconductor Design Series

SYSTEMS ON CHIP (SOC) FOR EMBEDDED APPLICATIONS

Digital Systems Laboratory

Introducing Simulink R2012b for Signal Processing & Communications Graham Reith Senior Team Leader, UK Application Engineering

Design Compiler Graphical Create a Better Starting Point for Faster Physical Implementation

Implementing MATLAB Algorithms in FPGAs and ASICs By Alexander Schreiber Senior Application Engineer MathWorks

Bibliography. Measuring Software Reuse, Jeffrey S. Poulin, Addison-Wesley, Practical Software Reuse, Donald J. Reifer, Wiley, 1997.

Transcription:

Mentor Graphics Solutions Enable Fast, Efficient Designs for Altera s FPGAs Fall 2004

Agenda FPGA design challenges Mentor Graphics comprehensive FPGA design solutions Unique tools address the full range of complex device- and system-level challenges HDL Designer Series ModelSim Precision Synthesis I/O Designer 2

Complex FPGA Systems Demand New Design Approaches Mainstream / Ready-to-use FPGA/PLDs -Synthesize -Place & Route -Simulate/Debug High-end FPGA/FPSoC - Complex timing - Physical timing problems - IP integration - HW/SW design - Complex debug - Prototyping - SDC constraints - C-based design - PCB integration issues -Team design Low-cost tools Push-button flow Sophisticated tools ASIC-like flow 3

Mentor Graphics FPGA Design Flow Mentor Graphics led commercial EDA vendors in serving the FPGA design community. They beat out all other commercial vendors in a range of categories, including a clear vision of the future. Most comprehensive in the industry (EEDesign, June 6, 2004, based on FPGA EDA study conducted by EE Times) Incorporates complex FPGA design aspects Embedded systems RTL simulation and synthesis including incremental synthesis Physical timing closure Integrated (FPGA/ PCB) systems design 4

Some Mentor Graphics FPGA Design Product Names FPGA Advantage Complete FPGA design environment HDL Designer Series Design creation, reuse, management, documentation, and design flow control environment ModelSim HDL simulation Precision Synthesis RTL synthesis Physical synthesis Catapult C Synthesis Algorithmic, untimed C/C++ synthesis for FPGA/ASIC LeonardoSpectrum FPGA and ASIC synthesis Seamless Hardware/Software co-verification environment XRAY Debugger Software debug for embedded processors Inventra IP IP cores for faster, reliable time to market Nucleus RTOS family of embedded software HyperLynx SI and EMC analysis suite I/O Designer Integrated systems design, enabling concurrent FPGA-onboard design 5

FPGA Advantage The FPGA Design Flow HDL Design HDL Designer Series Simulation ModelSim Synthesis Precision Synthesis 6

HDL Designer Series: Design Creation Interface-based design (IBD) Structural design definition Synthesis properties specified for flow Aids documentation Block diagram Text entry State machine Flow chart Truth table ModuleWare 7

HDL Designer Series: Team Design Environment FPGA design teams 1, 5, 10+ engineers Blocks designed separately but in context of entire design Version control A B C AA B CC 8

HDL Designer Series: Design Visualization & Documentation Design structure Block diagrams Interfacebased design State diagrams 9

ModelSim 6.0 Verification Environment Most widely used simulator in the world #1 in Mixed-HDL simulation Only unified verification environment supporting all major standards VHDL, Verilog, SystemVerilog, SystemC and PSL Complete path from simulation to Scalable Verification Dedicated to high-performance Powerful and easy-to-use Award-winning Technical Support Best price/feature/performance Coverage-Driven Verification Integrated Debug Environment Testbench Automation Standards: VHDL, Verilog, SystemVerilog, PSL, SystemC Assertion- Based Verification System-Level Design 10

ModelSim 6.0 Verification Highlights Add Verilog PSL to existing VHDL support Functional coverage Based on PSL SystemC enhancements More performance More SystemVerilog Nearly all design constructs DPI Highly intelligent GUI Shipping now (August 2004) 11

ModelSim 6.0 Modernized GUI Support for new methodologies Assertion browser supports control and debugging with ABV Functional coverage browser provides loading, control and reporting of functional coverage directives GUI ModelSim is recognized as having best native GUI in the industry Best interactive debugger All-in-one Language Independent Tcl/tk expandability 12

ModelSim 6.0 Improved User Interface New window layout Reorganized display of data Uses fewer windows Improved window manager More efficient use of screen real estate 13

Precision Synthesis: Integrated Logical & Physical Synthesis RTL Source Code Synthesis Rules & Libraries Timing Constraints Physical Constraints Physical Rules & Libraries Productivity enhancing synthesis tool suite RTL + Physical RTL Synthesis Precision Synthesis Physical Synthesis Combined Netlist & Detail Placement Addresses design challenges of advanced, complex devices Predictable design schedules Reduced number of design iterations Achieves timing closure 14

Precision RTL Synthesis Ease of use and competitive quality of results (QoR) Incremental timing analysis allows rapid constraint debug iterations Eliminates the need to re-run synthesis, or even a full static timing analysis again Fewer design iterations Precision RTL finds and incrementally fixes timing closure issues, early Easy migration from ASICs Standard SDC constraint file format 15

Precision Physical Synthesis The market leader that integrates RTL and Physical domain knowledge Reduces design iterations by linking physical data with logic synthesis Improves timing convergence by enhancing design analysis with ease of use Intelligent and easy-to-use timing analysis Cross-probe between RTL code, schematic, physical and timing views Improves performance by interactively optimizing the placed design Supports Altera devices beginning with May 2004 release Stratix, Stratix GX and Cyclone 16

Why Precision Physical Synthesis? Traditional approach to timing convergence Iterative methods Writing/re-writing RTL code Modifying constraints/attributes Multiple synthesis runs Multiple P&R runs Floorplanning With Precision Physical Faster time to performance Uses exact knowledge of placement / physical delays Fast, incremental, deterministic Placement optimization based on physical delays Available resources guide optimization 17

Traditional Floorplanning Fails 1ps* LE Boundary 400ps 500ps LAB Boundary LUT Register *Stratix-specific delay values 800ps Delay is not a regular function of distance at a detailed placement level 18

I/O Designer: Concurrent FPGA-PCB Design FPGA Design Flow FPGA Device Libraries PCB Flow Device Geometry & Symbol Libraries HDL Design VHDL or Verilog Functional Symbol Simulation I/O Designer Schematic Entry Synthesis FPGA Place & Route tools Constraints P&R Constraints P&R Pin Map PCB Symbol and Schematic PCB Layout 19

I/O Designer: Productivity Automatic FPGA PCB symbol integration Eliminates symbol creation and maintenance costs Eliminates man-weeks of effort Eliminates manual data entry issues Promotes correct by construction $20,000 $15,000 $10,000 $5,000 30 IO Iterations 20 10 $0 0 500 1,000 1,500 2,000 20 18 16 14 12 Days 10 8 6 4 2 FPGA Pins Symbol Creation 30 IO Iterations 20 10 Symbol Creation 0 0 500 1,000 1,500 2,000 FPGA Pins 20

I/O Designer: Serial versus Concurrent Traditional Flow: FPGA Design PCB Design FPGA Design PCB Design FPGA Design PCB Design I/O Designer Flow: Initial Integration Pin Change time PCB Design PCB Design I/O Design I/O Design Time to market savings FPGA Design FPGA Design Initial Integration Pin Change time 21

I/O Designer: PCB Manufacturing Optimizations Multi-component, viewdriven I/O design and optimization Minimize crossovers Unravel busses Assign compatible I/O standards across multiple FPGAs PCB design leads FPGA Drives FPGA design flow FPGA leads PCB PCB floorplanning drives PCB design flow FPGA 1 Optimized IO FPGA 2 PCB Design Project Saving > 1 Month per complex FPGA on a PCB 22

Summary Mentor Graphics has all the tools you need to handle your next advanced Altera FPGA design HDL Designer ModelSim Precision Synthesis Industry leading tools Unique functionality Significant productivity gains I/O Designer 23

To Learn More Go to http://www.mentor.com Visit the Mentor Graphics Booth 24

25