Strato and Strato OS. Justin Zhang Senior Applications Engineering Manager. Your new weapon for verification challenge. Nov 2017

Similar documents
Veloce2 the Enterprise Verification Platform. Simon Chen Emulation Business Development Director Mentor Graphics

The Veloce Emulator and its Use for Verification and System Integration of Complex Multi-node SOC Computing System

Validation Strategies with pre-silicon platforms

Next Generation Verification Process for Automotive and Mobile Designs with MIPI CSI-2 SM Interface

Does FPGA-based prototyping really have to be this difficult?

Verification Futures The next three years. February 2015 Nick Heaton, Distinguished Engineer

Hardware Software Bring-Up Solutions for ARM v7/v8-based Designs. August 2015

Verification Futures Nick Heaton, Distinguished Engineer, Cadence Design Systems

The Challenges of System Design. Raising Performance and Reducing Power Consumption

System-on-Chip Architecture for Mobile Applications. Sabyasachi Dey

SYSTEMS ON CHIP (SOC) FOR EMBEDDED APPLICATIONS

Yafit Snir Arindam Guha Cadence Design Systems, Inc. Accelerating System level Verification of SOC Designs with MIPI Interfaces

Power: What s the problem?

Virtual Hardware ECU How to Significantly Increase Your Testing Throughput!

Software Driven Verification at SoC Level. Perspec System Verifier Overview

Building High Performance, Power Efficient Cortex and Mali systems with ARM CoreLink. Robert Kaye

Place Your Logo Here. K. Charles Janac

Software Verification for Low Power, Safety Critical Systems

Beyond Hardware IP An overview of Arm development solutions

A holistic Pre-to-Post solution for Post-Si validation of SoC s

Beyond Soft IP Quality to Predictable Soft IP Reuse TSMC 2013 Open Innovation Platform Presented at Ecosystem Forum, 2013

NS115 System Emulation Based on Cadence Palladium XP

Will Everything Start To Look Like An SoC?

Graph-Based Verification in a UVM Environment

Figure 1: Target environment includes peripherals.

Early Software Development Through Emulation for a Complex SoC

100M Gate Designs in FPGAs

Growth outside Cell Phone Applications

Overview. Technology Details. D/AVE NX Preliminary Product Brief

Verification of Clock Domain Crossing Jitter and Metastability Tolerance using Emulation

A Seamless Tool Access Architecture from ESL to End Product

Profiling and Debugging OpenCL Applications with ARM Development Tools. October 2014

PXI Tsunami in Semiconductor ATE Michael Dewey Geotest Marvin Test Systems Silicon Valley Test Conference

Security and Performance Benefits of Virtualization

Developing deterministic networking technology for railway applications using TTEthernet software-based end systems

Verifying big.little using the Palladium XP. Deepak Venkatesan Murtaza Johar ARM India

Contents 1 Introduction 2 Functional Verification: Challenges and Solutions 3 SystemVerilog Paradigm 4 UVM (Universal Verification Methodology)

Will Everything Start To Look Like An SoC?

Synopsys Design Platform

A Deterministic Flow Combining Virtual Platforms, Emulation, and Hardware Prototypes

Combining Arm & RISC-V in Heterogeneous Designs

Optimizing Hardware/Software Development for Arm-Based Embedded Designs

Virtual Platforms, Simulators and Software Tools

Optimizing Cache Coherent Subsystem Architecture for Heterogeneous Multicore SoCs

Testable SOC Design. Sungho Kang

Using Virtual Platforms To Improve Software Verification and Validation Efficiency

Incisive Enterprise Verifier

Platform for System LSI Development

DFT Trends in the More than Moore Era. Stephen Pateras Mentor Graphics

The Next Steps in the Evolution of Embedded Processors

MediaTek Overview AI/5G-enabled Systems Test Challenges Systems Orientation New Opportunities

ZeBu : A Unified Verification Approach for Hardware Designers and Embedded Software Developers

DO-254 Testing of High Speed FPGA Interfaces by Nir Weintroub, CEO, and Sani Jabsheh, Verisense

10 Steps to Virtualization

High Quality, Low Cost Test

Using Mentor Questa for Pre-silicon Validation of IEEE based Silicon Instruments by CJ Clark & Craig Stephan, Intellitech Corporation

SoC / ASIC / FPGA / IP

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University

ARM Processors for Embedded Applications

Enabling Safe, Secure, Smarter Cars from Silicon to Software. Jeff Hutton Synopsys Automotive Business Development

Combining TLM & RTL Techniques:

Extending Fixed Subsystems at the TLM Level: Experiences from the FPGA World

ID 025C: An Introduction to the OSEK Operating System

Optimizing Emulator Utilization by Russ Klein, Program Director, Mentor Graphics

SoC Verification Methodology. Prof. Chien-Nan Liu TEL: ext:

WAVE ONE MAINFRAME WAVE THREE INTERNET WAVE FOUR MOBILE & CLOUD WAVE TWO PERSONAL COMPUTING & SOFTWARE Arm Limited

Multi-core microcontroller design with Cortex-M processors and CoreSight SoC

Java Embedded on ARM

Impact of DFT Techniques on Wafer Probe

What are Embedded Systems? Lecture 1 Introduction to Embedded Systems & Software

Abstract. Testing Parameters. Introduction. Hardware Platform. Native System

Software Defined Modem A commercial platform for wireless handsets

Accelerating Networking Products to Market by Lauro Rizzatti, Rizzatti LLC

Fault-Injection testing and code coverage measurement using Virtual Prototypes on the context of the ISO standard

Optimizing ARM SoC s with Carbon Performance Analysis Kits. ARM Technical Symposia, Fall 2014 Andy Ladd

Oracle Exadata X7. Uwe Kirchhoff Oracle ACS - Delivery Senior Principal Service Delivery Engineer

Simulation Based Analysis and Debug of Heterogeneous Platforms

Next-generation Power Aware CDC Verification What have we learned?

Supporting Advanced-Node FinFET SoCs with 16Gbps Multi-Protocol SerDes PHY IP

Digital Systems Testing

Efficient HW/SW Co-Design & Validation of Complex Emerging Systems

Embedded HW/SW Co-Development

Simulation-Based FlexRay TM Conformance Testing an OVM success story

The Next Steps in the Evolution of ARM Cortex-M

Dr. Yassine Hariri CMC Microsystems

StruxureWare TM. Data Center Expert. Data Center Infrastructure Management (DCIM) Software

Creating hybrid FPGA/virtual platform prototypes

Bringing the benefits of Cortex-M processors to FPGA

Design Process. Design : specify and enter the design intent. Verify: Implement: verify the correctness of design and implementation

Europractice Cadence release. IC Package ASSURA 4.1 ASSURA 4.1 ASSURA 4.1

The Embedded computing platform. Four-cycle handshake. Bus protocol. Typical bus signals. Four-cycle example. CPU bus.

Design Visibility Enhancement for Failure Analysis

ID 020C: Hardware-in-Loop: System Testing Without the System

It's not about the core, it s about the system

l Some materials from various sources! Soma 1! l Apply a signal, measure output, compare l 32-bit adder test example:!

HETEROGENEOUS SYSTEM ARCHITECTURE: PLATFORM FOR THE FUTURE

Implementing debug. and trace access. through functional I/O. Alvin Yang Staff FAE. Arm Tech Symposia Arm Limited

ASIC world. Start Specification Design Verification Layout Validation Finish

RapidIO.org Update.

Test and Verification Solutions. ARM Based SOC Design and Verification

Transcription:

Strato and Strato OS Your new weapon for verification challenge Justin Zhang Senior Applications Engineering Manager Nov 2017

Emulation Market Evolution Emulation moved to Virtualization with Veloce2 Data center friendliness Enterprise level usage Data Center Friendliness Global Access 2 Veloce Strato accelerates Moved to Application age Emulation as a virtual resource Cost Of Ownership reduction Vertical market focus ARM Summit Nov 2017

Veloce Strato Announcement REACHING 15B GATE CAPACITY MARK AHEAD OF MOORE S LAW John Cooley 3 ARM Summit Nov 2017

Veloce Strato : Value Proposition Capacity Scaling (2.5BG to 15BG) Best ROI Lowest COO 5x Productivity (3x Compile, 3x Bandwidth, 10x TTV) Data Center Friendly (Footprint, Air Cool, 1/3 Power/Gate, Uptime/Service) Easy Adoption, Migration and Use (Same Scripts, Apps, Use Model, Flows & Resource Efficient) 4 ARM Summit Nov 2017

Veloce the Complete Verification Platform Fault Virtual Network Deterministic ICE DFT Enterprise Server Power Coverage/ Assertions SW Debug Protocol Solutions (VirtuaLAB, isolve, Soft Models) Visualization Veloce Strato OS SW Core Compiler (Synth, Partition, PnR) Veloce Apps Use Modes (ICE, TBX, Virtual) Debug (Live waveform, Replay) Quattro 256M Gate Maximus 1B Gate Maximus 1B Gate Veloce StratoM 2.5B Gate Veloce StratoM 2.5B Gate Up to 15B Gate 5 Veloce Strato Update DAC June 2017

Veloce Strato Platform Best Productivity Up to 5x compile-runtime-debug productivity gain Faster compile: up to 3x with 100% success rate Faster time to visibility: up to 10x Faster co-model bandwidth: up to 3x SW and applications methodology and model reuse Largest portfolio offering for Virtual and ICE Lowest Cost Of Ownership Largest Effective Capacity Only platform that scales capacity to customer design needs Only platform with roadmap up to 15 B Gates 6 ARM Summit Nov 2017

Why Apps? 1 Structured set of features for specific needs 2 Easy to use interface 3 Customization 4 Platform independent 7 ARM Summit Nov 2017

Veloce the Complete Verification Platform Fault Virtual Network Deterministic ICE DFT Enterprise Server Power Coverage/ Assertions SW Debug Protocol Solutions (VirtuaLAB, isolve, Soft Models) Visualization Veloce Strato OS SW Core Compiler (Synth, Partition, PnR) Veloce Apps Use Modes (ICE, TBX, Virtual) Debug (Live waveform, Replay) Quattro 256M Gate Maximus 1B Gate Maximus 1B Gate Veloce StratoM 2.5B Gate Veloce StratoM 2.5B Gate Up to 15B Gate 8 ARM Summit Nov 2017

Veloce Power App Low power verification at SoC level where power controls come from application SW Handle Large SoC (RTL/Gate) with Full Visibility Performance for Complete Verification (e.g. OS Boot) [100s of Millions of Cycles] Accurate Power Numbers based on real switching activity Design Size # Cycles PCI Subsystem File Flow API Flow X Factor 42MG 11M 40 hours 5 hours 8x Processor 65MG 15 M 51 hours 6 hours 8.5X Video Enc-Dec 35MG 72 M 90 hours 8.5 hours 10.5x 9 ARM Summit Nov 2017

Low Power Management (UPF) Veloce Running Full SoC Embedded SW Running on Processor Processor Power control from SW Power Controller Block Multimedia (#3) Memory (#2) Storage (#5) Ethernet (#4) USB (#1) IEEE Std 1801-2013 SW Driven Power Management Validation Design RTL & Gate UPF 1/2/3 Liberty Support Memory ON OFF Standby Debug Static & Dynamic checks Coverage Visualizer Power Console 10 ARM Summit Nov 2017

Power Analysis Identification of Power peaks Large peaks (~1us) -> e.g. Supply integrity Narrow peaks (~1ns) -> e.g. IR-Drop Verification of Power Domains Usage via UPF Signoff Power Plot Close Correlation ON OFF ON OFF Power Surges Identification di/dt voltage drop ON OFF Should be OFF Electro-migration High power on very long periods Veloce Activity Plot Hot Spots Identification Optimization targets, Local IR-Drop, 11 ARM Summit Nov 2017 Power Trends Compare activity plots across RTL drops

Current DFT Verification Scenario Functional Simulation Physical Verification DFT Verification Data Center CPU farm All the teams are competing for the same CPU resources Functional and Physical verification take priority for CPU access during tape-out DFT verification (Unit Delay and SDF) takes a long time, when time is limited Tapeout signoff happens with incomplete DFT verification 12 ARM Summit Nov 2017

Veloce DFT App : Accelerate DFT Verification DFT Verification Unit Delay Patterns Accelerate unit delay patterns using Veloce DFT Verification Patterns with SDF Achieve faster overall DFT verification Ensure entire DFT verification flow is done before tape-out signoff Simulate patterns with SDF back annotated using CPU farm Data Center CPU farm 13 ARM Summit Nov 2017

Tape-out Tape-out Veloce DFT App RTL Functional Verification Flow Mask Silicon Test Gate Level DFT Gate Level Verify DFT logic and BIST structure Increase pattern correctness, robustness, reliability (STIL and WGL) Pre-tapeout pattern validation, accelerate time to production Reduce ATE test time and costs 14 ARM Summit Nov 2017 Backend Implementation Flow Veloce DFT Initial Test Bring Up Qualified and Reduced Test Bring Up Design Functional Application Test DFT Simulation Improve Manufacturing Ramp-up and Time-to-Yield Veloce DFT Sensor 3.1 days 90 sec 3000x WideIO 83.3 days 2 hours 1000x Graphics 2.7 days 58 sec 4000x Improvements

Veloce Fault App Reference Model Golden Emulates design behavior in presence of structural faults Fault Injector Reference Model Faulty Diff Measures Fault Tolerance of the design Inject different types of structural faults Types: Stuck at 0/1, Transition, Bridging fault Monitors effects of faults Automates comparison of values from a golden run (no Faults) vs a faulty run Reports mismatches at runtime Important for safety critical industries (automotive, aerospace, military) 15 ARM Summit Nov 2017

0 100 200 300 400 500 568 675 875 12 17 22 27 32 37 42 47 52 57 62 67 72 77 Coverage % Veloce Coverage App Hard-to-reach corner cases requiring millions of clock cycles Coverage collection using real-world stimulus Boot OS and collect coverage while running software Coverage for safety compliance 120 100 80 60 Simulation Cov % Emulation Cov % 40 20 0 16 ARM Summit Nov 2017 Wall Clock Time

Veloce Deterministic ICE App SoC Design SoC Design Unique offering for Veloce ICE emulation Delivers repeatable behavior for ICE debug LiveStream generates waveform for entire ICE run Apps: Power, Coverage, Boost productivity for the traditional ICE use mode Strengthen ICE offering and enable path from ICE to Virtual 17 ARM Summit Nov 2017

Visualizer App Common platform for Veloce and Questa Short learning curve for transition from other debugger Biometric search, driver tracing, memory view,.. 18 ARM Summit Nov 2017

Job Management for Veloce : ES App Large Jobs ICE Jobs Accln Jobs Priority Jobs Busy Busy Free Free Free Free Reserve Reserve Job Queue Busy Busy Free Free Busy Busy Busy Free 19 ARM Summit Nov 2017

Enterprise Server App Maximize Veloce utilization & sharing Automated job scheduling & prioritization Flexible Veloce sharing by multiple teams worldwide On-the-fly utilization reports Support LSF, SGE, NC or custom High Priority Job Waiting Job #3 Waiting Job #2 Current Job #1 20 ARM Summit Nov 2017

Veloce VN App Unified pre/post-si development and test platform Virtual Machine : ease of use, debug, analyzers, SW configurable Post-Si traffic fidelity and statistics in pre-si verification SW SW Unchanged Ixia Test SW SW VM Platform Pre-Si Visualization, Debug, Analysis, Performance, Test Post-Si Unchanged SUT Drivers & Host SW HW Platform 21 ARM Summit Nov 2017

Configurable IP Reference Platform Driving SW Development Costs Down Access to Configurable IP Reference Platform Libs Android BM/Apps Application Framework Middle ware Android Run-time Drivers Virtual Layer Veloce Linux A complete open source stack Linux drivers to Android apps Benchmarks on OS Customized for vertical market segments Running on a configurable virtual platform Pre-configured for fast hybrid emulation with Veloce Fast OS boot>>>hw Accurate Common peripherals for the target market segment Full instrumentation for hardware, software, power, and performance debug Activity Android Linux Prompt Example Android OS Boot and GPU Benchmarking PLAID Time RTL Emulation Time 3 min 18 min Android Services 8 min 10 hr Android Apps 10 min >24 hr PLAID Hybrid Platforms 50X Emulation Full system level validation in the context of real software 22 ARM Summit Nov 2017

www.mentor.com