Circuits Multi-Projets

Similar documents
Circuits Multi-Projets

Circuits Multi Projets

CircuitsMulti-Projets

TSBCD025 High Voltage 0.25 mm BCDMOS

EM MICROELECTRONIC offers ALP018 for MPW service through CMP. ALP018 : Analog Low Power 180nm process, optimized for Analog and Low Voltage Designs

AltaSens A5262-4T 4.5 Megapixel CMOS Image Sensor 0.18 µm IBM Process

Lighting up the Semiconductor World Semiconductor Device Engineering and Crosslight TCAD

Mixed-Signal. From ICs to Systems. Mixed-Signal solutions from Aeroflex Colorado Springs. Standard products. Custom ASICs. Mixed-Signal modules

BCD8sP Technology Overview

ESD Protection Structure with Inductor-Triggered SCR for RF Applications in 65-nm CMOS Process

Challenges for Non Volatile Memory (NVM) for Automotive High Temperature Operating Conditions Alexander Muffler

150nm SOI 77K Mixed-Signal Technology ATMEL

Introduction 1. GENERAL TRENDS. 1. The technology scale down DEEP SUBMICRON CMOS DESIGN

700V Power Management Platform with record logic density : SOC power solutions are finally enabled for 700V.

Power IC 용 ESD 보호기술. 구용서 ( Yong-Seo Koo ) Electronic Engineering Dankook University, Korea

ESD Protection Design for Mixed-Voltage I/O Interfaces -- Overview

2018 Europractice MPW runs Schedule and Prices. Accessible for universities & research institutes Version v6.0

Technology and Manufacturing

NVIDIA Tegra T20-H-A2 Application Processor TSMC 40 nm Low Power CMOS Process

Panasonic Mp, 4.4 µm Pixel Size LiveMOS Image Sensor from Panasonic LUMIX DMC-G1 Micro Four Thirds Digital Interchangeable Lens Camera

Methodology on Extracting Compact Layout Rules for Latchup Prevention in Deep-Submicron Bulk CMOS Technology

0.6μm BiCMOS 0.6 Micron BiCMOS Technology for Analog Product Applications

Nokia N90 (Toshiba ET8EA3-AS) 2.0 Megapixel CMOS Image Sensor Process Review

Lecture 4a. CMOS Fabrication, Layout and Simulation. R. Saleh Dept. of ECE University of British Columbia

Moore s Law: Alive and Well. Mark Bohr Intel Senior Fellow

FPGA Programming Technology

Digital Integrated Circuits A Design Perspective. Jan M. Rabaey

Chapter 2 On-Chip Protection Solution for Radio Frequency Integrated Circuits in Standard CMOS Process

A Single Poly Flash Memory Intellectual Property for Low-Cost, Low-Density Embedded Nonvolatile Memory Applications

Process Technologies for SOCs

Luxtera PN Silicon CMOS Photonic Chip Freescale 130 nm SOI CMOS Process

2019 Europractice MPW runs Schedule and Prices. Accessible for universities & research institutes Version v2.0

Investigation on seal-ring rules for IC product reliability in m CMOS technology

EECS 598: Integrating Emerging Technologies with Computer Architecture. Lecture 10: Three-Dimensional (3D) Integration

High Performance Mixed-Signal Solutions from Aeroflex

IBG Protection for Anti-Fuse OTP Memory Security Breaches

2018 General Europractice MPW runs Schedule and Prices

PAPER MOS-Bounded Diodes for On-Chip ESD Protection in Deep Submicron CMOS Process

Canon Digic II CH Digital Image Processor Structural Analysis

Samsung K9GAG08U0M-PCB0 16 Gbit Multi-Level Cell (MLC) 51 nm Process Technology NAND Flash Memory

Mixed-Signal&RF -0.18μm. 0.18um Process Feature. 0.18um Process Device Feature. 0.18um Process Key Design Rule. Isolation

Lay ay ut Design g R ules

Presenter Name. Larry Morrell Title or job function. Vice President/GM IP Products

CS310 Embedded Computer Systems. Maeng

Lab. Course Goals. Topics. What is VLSI design? What is an integrated circuit? VLSI Design Cycle. VLSI Design Automation

Memory Design I. Array-Structured Memory Architecture. Professor Chris H. Kim. Dept. of ECE.

High-Voltage Structured ASICs for Industrial Applications - A Single Chip Solution

Circuits. L3: Fabrication and Layout -1 ( ) B. Mazhari Dept. of EE, IIT Kanpur. B. Mazhari, IITK. G-Number

RADIATION TEST OF TFSMART2 TECHNOLOGY USING EXTENDED COMMON MODE LVDS AND DC-DC CONVERTER COMPONENTS

Numonyx JSPCM128A00B85ES 128 Mbit Phase Change Memory 90 nm BiCMOS PCM Process

Nan Ya NT5DS32M8BT-6K 256 Mbit DDR SDRAM Structural Analysis

HIGH SPEED TDI EMBEDDED CCD IN CMOS SENSOR

Analog and Telecommunication Electronics

Access Time Time needed by the device to present the data out after the address has been validated.

Analog and Telecommunication Electronics

Texas Instruments TMX320TCI6488ZUNV Baseband Processor System on a Chip

Designing Shallow Trench Isolation Diodes as Electrostatic Discharge Protection for Applications in Deep Submicron CMOS Technology

Using ASIC circuits. What is ASIC. ASIC examples ASIC types and selection ASIC costs ASIC purchasing Trends in IC technologies

CMOS TECHNOLOGY- Chapter 2 in the Text

Lockheed Martin Nanosystems

Flash TOSHIBA TOSHIBA

G IP3=38. P1dB= Single. Units

Matsushita MN2DS0015 System on a Chip for DVD Players 65 nm CMOS Process Structural Analysis

How Safe is Anti-Fuse Memory? IBG Protection for Anti-Fuse OTP Memory Security Breaches

Gigascale Integration Design Challenges & Opportunities. Shekhar Borkar Circuit Research, Intel Labs October 24, 2004

Digital Fundamentals. Integrated Circuit Technologies

New Layout Scheme to Improve ESD Robustness of I/O Buffers in Fully-Silicided CMOS Process

ΔΙΑΛΕΞΗ 5: FPGA Programming Technologies (aka: how to connect/disconnect wires/gates)

Memory Design I. Semiconductor Memory Classification. Read-Write Memories (RWM) Memory Scaling Trend. Memory Scaling Trend

Technology & Manufacturing. Kevin Ritchie Senior vice president, Technology & Manufacturing

Texas Instruments S W Digital Micromirror Device

11 Patent Number: 5,519,242 Avery 45) Date of Patent: May 21, 1996

ECE321 Electronics I

TABLE OF CONTENTS 1.0 PURPOSE INTRODUCTION ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2

CMOS VLSI DESIGN ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING CMOS VLSI DESIGN. Dr. Lynn Fuller

Memjet ML Printhead from the RapidX1 Color Label Printer

Applications Emerging to Employ Embedded Non- Volatile Memory

ESD Protection Scheme for I/O Interface of CMOS IC Operating in the Power-Down Mode on System Board

Current status of SOI / MPU and ASIC development for space

New Embedded NVM architectures

Monolithic 3D Integration using Standard Fab & Standard Transistors. Zvi Or-Bach CEO MonolithIC 3D Inc.

Qualification Report. October, 1993, QTP Version 1.0. Pinnacle Cache Ram MARKETING PART NUMBER DEVICE DESCRIPTION. 16K x 32 I/O Cache 80 MHz

CMP annual meeting, January 23 rd, 2014

Electrostatic Discharge Implantation to Improve Machine-Model ESD Robustness of Stacked NMOS in Mixed-Voltage I/O Interface Circuits

IO & ESD protection 1.8V & 3.3V capable general purpose digital IO pad based on 1.8V devices for TSMC 28nm CMOS technology

Clocked FIFO. Qualification Report. July, 1994, QTP# Version 1.0 DEVICE DESCRIPTION MARKETING PART NUMBER. 2K x 18 Clocked FIFO

Sense Amplifiers 6 T Cell. M PC is the precharge transistor whose purpose is to force the latch to operate at the unstable point.

Composite Layout CS/EE N-type from the top. N-type Transistor. Diffusion Mask. Polysilicon Mask

Simple Emitter and Collector Scaling Approach with VBIC for Low-cost SiGe:C HBT s

Integrated Circuits & Systems

Optimization of Broadband RF Performance and ESD Robustness by π-model Distributed ESD Protection Scheme

Spiral 2-8. Cell Layout

Technology & Manufacturing. Laurent Bosson Executive Vice President Front End Technology & Manufacturing

FPGA for Dummies. Introduc)on to Programmable Logic

Imaging, BiCMOS ASIC and Silicon Photonics. Eric Aussedat Executive Vice President General Manager, Imaging, Bi-CMOS ASIC and Silicon Photonics Group

The ASIC Company. Mixed-Signal ASICs Digital ASICs Standard ICs (ASSPs) Custom IC Design

By Joe Grimm, Business Development Manager, RFIC Switches, California Eastern Laboratories

Process technology and introduction to physical

ESD Protection Design With Low-Capacitance Consideration for High-Speed/High- Frequency I/O Interfaces in Integrated Circuits

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag

Transcription:

Circuits Multi-Projets 0.35µm, 0.18µm MPW services http://mycmp.fr Grenoble - France

Available Processes Process Name Process Feature C35B4C3 0.35µm CMOS 3.3V / 5.0V C35B4C2 0.35µm CMOS 3.3V C35B4O1 C35B4OA S35D4M5 C35B4M3 H35B4D3 BYE / BYQ 0.35µm CMOS-Opto ARC 0.35µm CMOS-Opto BARC 0.35µm SiGe BiCMOS 0.35µm CMOS-RF 0.35µm HV-CMOS 0.8µm BiCMOS (available on request) 2

Process (0.35µm CMOS) CMOS 0.35µm C35 (C35B4C3) 2 Layers Polysilicon, 4 Layers Metal, 3.3V / 5.0V, High Resistive Poly. 3.3V / 5.0V I/O pads. Peripheral cells with high driving capability (from 1mA to 24mA) Application : Analog, Digital, Mixed A/D, RF. Density : 18 kgates/mm 2 Gate Delay: 100ps (NAND2 typical) Libraries : Digital and Analog Standard Cells + Pads + P-Cells. CORELIB qualified for 1.8V / 2.2V / 2.7V / 3.3V CORELIB_V5 qualified for 2.0V / 3.0V / 4.0V / 5.0V CMOS-Opto 0.35µm (C35B4O1) Fox P+ P- metal4 via3 metal3 via2 metal2 via1 metal1 contact PHOTO_DIODE n- n+ Anti reflective coating P+ P- Thick Metal and MIM available in C35B4M3. P- substrate CMOS-Opto 0.35µm (C35B4OA) P- Epi 3

Process (0.35µm SiGe) SiGe HBT-BiCMOS 0.35µm S35D4M5 4 Layers Polysilicon / 4 Layers Metal. Power supply voltage range (2.5V 3.6V / 5.5V) Vertical SiGe-HBT NPN : Ft = 70 GHz High Resistive Polysilicon. Poly1/Poly2 capacitors MIM capacitors Thick Top Metal 4

Process (0.35µm HV-CMOS) HV CMOS 0.35µm H35 (H35B4D3) 2 Layers Polysilicon, 4 Layers Metal, High Resistive Poly, Thick 4 th Metal. 20V / 50 V / 120 V Maximum operating voltage. 3.3V / 5.0V / 20V Maximum gate voltage. R on = 0.11 Ohm mm 2 for HV-NMOS R on = 0.29 Ohm mm 2 for HV-PMOS NMOS50 (50V) PMOS50 (50V) NMOS120 (120V) PMOS120 (120V) NMOSI50 (50V) VERTN1 Isolated 3.3V / 5V Standard 3.3V / 5V 5

Runs in 2018 Number of prototypes in 2018 : 64 (70 in 2017) Number of Low volume prod. in 2018 : 23 (16 in 2017) 22 scheduled MPW runs (30 in 2017) 14 extra runs (Production) (8 in 2017) 2018 CMOS SiGe HV-CMOS MEMS CMOS 36 (47 in 2017) 67% (67% in 2017) MEMS Bulkmicromachining 2 (2 in 2017) 3% (3% in 2017) SiGe 14 (9 in 2017) 13% (13% in 2017) HV-CMOS 12 (12 in 2017) 17% (17% in 2017) 2017 CMOS SiGe HV-CMOS MEMS 6

Summary news on the AMS offer at CMP New CMP pricing / Discounted price for NEXTS/Europractice members. In 2019: 4 MPW runs for CMOS, 2 MPW runs in SiGe, 2 MPW runs in HV. Dedicated engineering runs available for new projects, or existing mask-sets. 0.8µm BiCMOS is phasing out until December 31 st, 2019, then will stop. No more 0.18µm CMOS or HV-CMOS offer from AMS since January 2019. 7

EM 0.18µm Process EM microelectronic 0.18µm MPW services 8

COMING SOON CMP is about to provide access to Technology offering overview Available Q2 2019 Minimum Gate Length: Dual Gate Oxides: # Metal Layers FEOL Isolation 0.18µm EMALPC18 logic 180nm [drawn] 3.0nm ThinGOX [1.98V max] 6.5nm DualGOX [3.63V max] 4/5 Metal Layers: AlCu: option B -4 ML or option M -5 ML Non Epi or p-epi substrate [16-24Ω.cm] STI [Shallow trench Isolation] https://mycmp.fr 9

COMING SOON Price / Schedule / General information MPW SCHEDULE A M J J A S O N D EMALPC18 technology 24 31 30 Standard price in Euro/mm 2 1950 Euro/mm² for Area < 5mm² 1250 Euro/mm² for mm² above 5mm² Discount in Euro/project* 600 Price = area (mm 2 ) X price/mm 2 with minimum fabrication cost equivalent to 2,35 mm 2 * For active Europractice IC member only. Key features : 8 inch Fab, fully manufactured in Switzerland 180 nm Including accurate devices, optimized for Analog Developed for Ultra Low Power, Ultra Low Voltage Designs EKV accurate model/parameters for near threshold bias Ultra low power, horlogy, sensors, IoT, Mixed analog/digital Advanced models for accurate simulations, Standard logic & IO cells, advanced devices and IPs Dedicated runs available on request Contact : Lyubomir KERACHEV François BERTHOLLET Kholdoun TORKI https://mycmp.fr 10

On Semi 0.18µm & 0.35µm Processes On Semiconductors 0.18µm & 0.35µm MPW services 11

Technology offering overview COMING SOON CMP is about to provide access to ON Semi Custom Foundry Available Q2 2019 MEMORIES Max Operating Voltage (Vgs) Max Drain Voltage (Vds) Tmin/ Tmax # Metal Layers Isolation RAM / ROM / OTP /EEPROM 0.35μm ONC35U 3.3 V / 5 V 5 V -40/+150 3-5 Junction Y 1 0.35μm ONC35I3T25U 3.3 V / 12 V 25 V -40/+155 3-5 Junction Y 1 0.35μm ONC35I3T50U 3.3 V 50 V -40/+190 3-5 DTI Y 1 0.18µm ONC18MS 1.8V / 3.3V 15 V -45/+135 2 4-6 Junction Y 0.18µm ONCI4T 1.8 V 3.3 V 70 V -45/+200 2 4-6 DTI Y Notes: Metal Layer from 3 to 5 available on request. Intrinsic technology temperature capability : 200 C Default parameters (Options available on request, listed and described on website) 1 OTP: unsupported but Leaf cells available for I3T50 2 Cryo models for 1.8V and 3.3V MOS (n- and p-type) and resistors I3T50 cross section https://mycmp.fr 12

COMING SOON Price / Schedule / General information MPW SCHEDULE A M J J A S O N D 0.35μm ONC35U 4M including analog options 0.35μm ONC35I3T25U 3.3/25 V 4M only thick top metal 0.35μm ONC35I3T50U (E) 50 V 4M (5M on special request) 0.18µm ONC18MS (0.18 μm - 1.8/3.3 V - 15V DMOS - 5LM - MiMC - ESD - HiR - EPI) 0.18µm ONC18I4T 45/70V HV CMOS (=ONC18MS + 30V + 45V + 70V DMOS) 1 16 1 16 2 2 2 2 Standard price in /mm 2 Discounted price in /mm 2 * 720 670 770 720 925 875 10 12 7 9 1100 1050 10 12 7 9 1540 1480 Price = area (mm 2 ) * price/mm 2 with minimum fabrication cost equivalent to 10 mm 2 * For active Europractice IC member only. Industrial and automotive qualified up to Tj 200 C. Many options available on requests (check on web-site) Extensive ESD library MLM (Multi-layer mask set) for POC and qualifications SLM (Production mask set) Contact : François BERTHOLLET Lyubomir KERACHEV Kholdoun TORKI https://mycmp.fr 13