ANALOG MICROELECTRONICS ( A)

Similar documents
UNIVERSITY OF WATERLOO

Cadence Tutorial 2: Layout, DRC/LVS and Circuit Simulation with Extracted Parasitics

Lab 2. Standard Cell layout.

TUTORIAL II ECE 555 / 755 Updated on September 11 th 2006 CADENCE LAYOUT AND PARASITIC EXTRACTION

EE434 ASIC & Digital Systems. From Layout to SPICE Simulation (Virtuoso, Calibre, HSpice) Spring 2017 Dae Hyun Kim

Cadence Tutorial. Introduction to Cadence 0.18um, Implementation and Simulation of an inverter. A. Moradi, A. Miled et M. Sawan

EE115C Digital Electronic Circuits. Tutorial 4: Schematic-driven Layout (Virtuoso XL)

Amplifier Simulation Tutorial. Design Kit: Cadence 0.18μm CMOS PDK (gpdk180) (Cadence Version 6.1.5)

Virtuoso Layout Editor

ECE471/571 Energy Ecient VLSI Design

Cadence IC Design Manual

Setting up the IBM 65nm libraries in Cadence 6.1

EE 330 Laboratory 3 Layout, DRC, and LVS

ECE471/571 Energy Efficient VLSI Design Project 2 Cadence Setup and Creation of an Inverter Due Date 11:30 am on Friday, February 2 nd, 2018

EECE 285 VLSI Design. Cadence Tutorial EECE 285 VLSI. By: Kevin Dick Co-author: Jeff Kauppila Co-author: Dr. Arthur Witulski

Virtuoso Schematic Composer

Creating the inv1 cell WITHOUT power pins

EE 330 Laboratory 3 Layout, DRC, and LVS Fall 2015

EE 330 Spring 2018 Laboratory 2: Basic Boolean Circuits

CS755 CAD TOOL TUTORIAL

CPE/EE 427, CPE 527, VLSI Design I: Tutorial #1, Full Custom VLSI (inverter layout)

VLSI Lab Tutorial 3. Virtuoso Layout Editing Introduction

Lab 1: An Introduction to Cadence

Abstract Editor (Last updated: Oct. 23, 2008)

The Procedure for Laying out the inverter in TSMC s 0.35 micron Technogy using MOSIS SCMOS SCN4M_SUBM design rules.

Design rule illustrations for the AMI C5N process can be found at:

Cadence Virtuoso Schematic Design and Circuit Simulation Tutorial

Logging in, starting a shell tool, and starting the Cadence Tool Suite

FACULTY OF ENGINEERING MULTIMEDIA UNIVERSITY LAB SHEET DIGITAL INTEGRATED CIRCUIT

Cadence Tutorial: Schematic Entry and Circuit Simulation of a CMOS Inverter

AMS 0.18 µm PDK Setup and Cadence Tutorial Contributors

Cadence Schematic Tutorial. EEE5320/EEE4306 Fall 2015 University of Florida ECE

VLSI Lab Tutorial 1. Cadence Virtuoso Schematic Composer Introduction

Layout and Layout Verification. of an Inverter Circuit

ELEC451 Integrated Circuit Engineering Using Cadence's Virtuoso Layout Editing Tool

Fall 2008: EE5323 VLSI Design I using Cadence

DOWNLOAD PDF CADENCE WAVEFORM CALCULATOR USER GUIDE

DRC and LVS checks using Cadence Virtuoso Version 3.0

ESE570 Spring University of Pennsylvania Department of Electrical and System Engineering Digital Integrated Cicruits AND VLSI Fundamentals

EECS 627, Lab Assignment 3

This is a brief tutorial about building a Symbol for a Schematic in Cadence IC design tool environment for hierarchical design of schematics.

ECE425: Introduction to VLSI System Design Machine Problem 3 Due: 11:59pm Friday, Dec. 15 th 2017

Process technology and introduction to physical

EDA-BASED DESIGN PRACTICAL LABORATORY SESSION No. 3

Cadence Tutorial A: Schematic Entry and Functional Simulation Created for the MSU VLSI program by Andrew Mason and the AMSaC lab group.

CMOS Design Lab Manual

DRC and LVS checks using Cadence Virtuoso Version 2.0

EE 330 Laboratory Experiment Number 11

Professor Muller Fall 2016 Sameet Ramakrishnan Eric Chang Adapted from prior EE140 and EE141 labs. EE 140/240A Lab 0 Full IC Design Flow

EEC 116 Fall 2011 Lab #1 Cadence Schematic Capture and Layout Tutorial

CMOS INVERTER LAYOUT TUTORIAL

ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS)

Prepared by Dr. Ulkuhan Guler GT-Bionics Lab Georgia Institute of Technology

EE5323/5324 VLSI Design I/II using Cadence

Analog IC Schematic Capture. Mentor Graphics 2006

Introduction to Design Architect

Microelectronica. Full-Custom Design with Cadence Tutorial

Revision Notes: July2004 Generate tutorial for single transistor analysis. Based on existing schematic entry tutorial developed for ECE410

Select the technology library: NCSU_TechLib_ami06, then press OK.

EE115C Digital Electronic Circuits. Tutorial 2: Hierarchical Schematic and Simulation

CPE/EE 427, CPE 527, VLSI Design I: Tutorial #2, Schematic Capture, DC Analysis, Transient Analysis (Inverter, NAND2)

CADENCE SETUP. ECE4430-Analog IC Design

Full Custom Integrated Circuit (IC) Design Flow at U.S. Army Research Laboratory

Verifying the Multiplexer Layout

Mentor Graphics VLSI CAD Tutorials

EE 140/240A - Full IC Design Flow Tutorial

More information can be found in the Cadence manuals Virtuoso Layout Editor User Guide and Cadence Hierarchy Editor User Guide.

Guide to the CSE 577 Lab and Cad tools

A Tutorial on Using the Cadence Virtuoso Editor to create a CMOS Inverter with CMOSIS5 Technology

Cadence Tutorial A: Schematic Entry and Functional Simulation Created for the MSU VLSI program by Professor A. Mason and the AMSaC lab group.

Tutorial II: Cadence Virtuoso ECE6133: Physical Design Automation of VLSI Systems Georgia Institute of Technology Prof.

EE4111 Advanced Analog Electronics Design. Spring 2009 Experiment #4 April 6 ~ April 17

Cadence Tutorial D: Using Design Variables and Parametric Analysis Document Contents Introduction Using Design Variables Apply Apply

EE 330 Laboratory Experiment Number 11 Design and Simulation of Digital Circuits using Hardware Description Languages

The original document link is

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences Lab #2: Layout and Simulation

Introduction to laboratory exercises in Digital IC Design.

An overview of standard cell based digital VLSI design

CMOS VLSI Design Lab 4: Full Chip Assembly

The following is a procedure for extracting a layout, doing a layout vs. schematic check, and then simulating the extracted layout with Cadence.

Creating LEF File. Abstract Generation: Creating LEF Tutorial File Release Date: 01/13/2004. Export GDS:

Laboratory 6. - Using Encounter for Automatic Place and Route. By Mulong Li, 2013

EE 330 Laboratory Experiment Number 11 Design, Simulation and Layout of Digital Circuits using Hardware Description Languages

Lab 1: Cadence Custom IC design tools- Setup, Schematic capture and simulation

Lab 4 LVS and Post layout Simulation

Synthesis and APR Tools Tutorial

Laboratory 3. EE 342 (VLSI Circuit Design) - Using Spectre netlist and Calculator for simulation

DC Circuit Simulation

Basic Analog Simulation in Cadence

Chip Core. Final Assembly. Core Sizes. Connecting Core to Pads. Core. Pad Ring The connection to the outside world

Extraction of Parasitic Capacitance and Resistances for HSPICE Simulation

CS/EE 5720/6720 Analog IC Design Tutorial for Schematic Design and Analysis using Spectre

HOMEWORK 9 CMPEN 411 Due: 4/12/ :30pm

Final Assembly. Chip Core

Creating Verilog Tutorial Netlist Release Date: 01/13/2005(Version 2)

INTRODUCTION TO MENTOR GRAPHICS DESIGN TOOLS

EE 330 Spring Laboratory 2: Basic Boolean Circuits

HOMEWORK 10 CMPEN 411 Due: 4/28/ :30pm

Lab. Course Goals. Topics. What is VLSI design? What is an integrated circuit? VLSI Design Cycle. VLSI Design Automation

Simulation with Verilog-XL

Transcription:

ANALOG MICROELECTRONICS (304-534A) IBM 130 nm CMOS Technology An Introduction to Cadence Virtuoso Layout Tool and the Analog Simulation Environment Prepared By - Azhar A. Chowdhury Updated by Ming Yang CMOS PROCESS Step 1 The first step always is creating the schematic. Then we can perform the layout. Create the schematic of an inverter as per tutorial 1.

Figure 1: Schematic of an inverter Step 2: Create the Layout a. In icfb File --> New --> Cell View Tool : Virtuoso Click ok and Layout Editor will open with LSW b. In the layout Editor Options --> Display Set the following parameter: Minor Spacing: 0.01 Major Spacing: 0.1 X Snap Spacing: 0.01 Y Snap Spacing: 0.01 The unit here is in µm, and 0.01µm is the highest precision IBM.13 technology can have.

From Display Controls, select 'Pin Names' Display Levels, Start --> 0, Stop --> 20 option --> Layout Editor Set Aperture: 0.01. You may also want to uncheck the Gravity On box to prevent components snipping together during the layout. c. In the layout editor, you can start the layout. There are two different ways to complete the layout design. Method 1 (traditional approach): In the layout window Create --> Instance (Shortcut i)

Place the component in the layout window, Now select the instance and press (Shift + q) for "Edit Instance Properties" Set the length, width, number of fingers according to your design. Select the "Connect S/D/G terminals" *for pmos make sure to select "Add NW contact" Complete the layout similarly, and route the wire accordingly. To put down a wire, select the LSW window and click on the material you would like to use (for example M1 drw means drawing with metal 1), and then use path (W) or rectangular (R) tool to draw. After the layout it should look like this

add metal 1 pins to specify input and output nodes 1) select metal1-pn in the LSW window 2) Create -> Pin... 3) give the terminal a name, e.g. VDD 4) select Shape Pin next to Mode (and select rectangle) 5) select Display Pin Name 6) select inputoutput/input/output next to I/O type 7) on the layout, draw a rectangle on the layer to be labelled (metal 1 in this case) and place the text label beside the pin - create four pins in total: VDD, vin, vout and VSS. Method 2 (by layout XL): Layout XL is a function integrated in Cadence that helps the designer to generate all the schematic components (transistors, resistors, pins etc., but except wire) directly from a schematic design. It also tells the designer how to connect components together. Getting familiar with this function can greatly reduce the layout time for a fairly complicated circuit. To invoke Layout XL and generate all components from schematic design: (1) Close your current Virtuoso Layout Editor window (2) In Virtuoso Schematic Editing window, select Tools-->Design Synthesis-->Layout XL (3) Select open existing and locate the file if your layout file has been created before (4) If everything works out correctly, Virtuoso XL Layout Editor window will pop up (5) In this window, select Design-->Gen From Sources (6) Within I/O Pins section, select the material for pins, here we use M1 pn for the layer,

then click apply to apply this setup to all pins (7) Click Ok to generate components from schematics Now all the components in your schematic design should be placed into the layout editor, move them into the design area (green box defined by layer prbound). The last step is to route the wire according to the schematic design. When drawing a wire or a rectangular on top of a component, the Layout XL Editor will tell you how it should be connected. For example, when drawing a metal 1 rectangular on the drain of the pfet, the editor will highlight all the places that should be connected together. After the layout it should look similar to the result produced by method 1. The only difference is that the label on top of each pin (in white) does not exist since the pins are automatically generated by the program without any label.

Step 3 : Calibre DRC To do a DRC, Layout -> IBM_PDK -> Checking -> Calibre -> DRC Select "Default Runset" from the pop-up. The Environment Variables Setup form will be set at defaults, change: BEOL_STACK = 3_2_3 TECHDIR = /CMC/kits/cmosp13.V1.8.0.0DM/IBM_PDK/cmrf8sf/V1.8.0.1DM/Calibre/ DENSITY_LOCAL = OFF DESIGN_TYPE = CELL This creates a runset file and opens the Calibre Interactive window. Select a directory below your working directory for the DRC Run Directory (eg. mkdir drc) and then save runset with File - > Save Runset as. The default name of the runset is "ibmpdkdrc.runset1", simply provide the path information. Your working directory is a good place to keep this runset file. The DRC rules, if not automatically filled in, is: /CMC/kits/cmosp13.V1.8.0.0DM/IBM_PDK/cmrf8sf/V1.8.0.1DM/Calibre/ DRC/cmrf8sf.drc.cal Finally, press the Run DRC button to get your results. After the DRC is complete DRC RVE window will show up with the results. See figure below:

If no error then it should look like the figure above. Error will also show up and you can see the reasons for the error. Step 4: Assura LVS To do LVS, Layout -> Assura ->Run LVS Schematic Design Source - DFII Then click Browse on Schematic Design Source to select your schematic from the your library The following Extract Rules, Compare Rules, Binding Files & RSF Include files should be in the form. /CMC/kits/cmosp13.V1.8.0.0DM/IBM_PDK/cmrf8sf/V1.8.0.1DM/Assura/QRC/32/extract.rul /CMC/kits/cmosp13.V1.8.0.0DM/IBM_PDK/cmrf8sf/V1.8.0.1DM/Assura/QRC/32/compare.cdl /CMC/kits/cmosp13.V1.8.0.0DM/IBM_PDK/cmrf8sf/V1.8.0.1DM/Assura/QRC/32/bind.cdl /CMC/kits/cmosp13.V1.8.0.0DM/IBM_PDK/cmrf8sf/V1.8.0.1DM/Assura/QRC/32/LVSinclude.rsf

Click ok to run the LVS and wait until the report appears The following window will appear with all the errors. Click yes If you have any error then the LVS Debug will show all the errors and a description.

Step 5: Assura QRC To do QRC, Then, Layout -> Assura ->Run QRC In the Extraction tab Extraction Type - RC Ref Node - /VSS Cap Coupling Mode - Coupling

In the Setup tab Setup Dir - /CMC/kits/cmosp13.V1.8.0.0DM/IBM_PDK/cmrf8sf/V1.8.0.1DM/Assura/QRC/32 Output - Extracted View After Successful QRC following window will show up

Step 6 - Simulation with the Extracted layout Open the schematics of the circuit test bed. Go to Analog Environment. Setup -> Environment Switch View List -> Write av_extracted before schematic Now you can run your simulation. If you go down in Hierarchy in your test bed circuit, it will take you to extracted view.