Professor Muller Fall 2016 Sameet Ramakrishnan Eric Chang Adapted from prior EE140 and EE141 labs. EE 140/240A Lab 0 Full IC Design Flow

Size: px
Start display at page:

Download "Professor Muller Fall 2016 Sameet Ramakrishnan Eric Chang Adapted from prior EE140 and EE141 labs. EE 140/240A Lab 0 Full IC Design Flow"

Transcription

1 Professor Muller Fall 2016 Sameet Ramakrishnan Eric Chang Adapted from prior EE140 and EE141 labs EE 140/240A Lab 0 Full IC Design Flow In this lab, you will walk through the full process an analog designer engineer might use for chip design. This includes inputting a design schematic, creating a testbench, doing the physical layout of the design, and simulating the layout with parasitic elements. 1. Remote Server Login In this class, you may want to access the servers from home in order to use Cadence for future homeworks and the project. We recommend using X2Go instead of using ssh, as Cadence can be very graphics intensive and slow on ssh. For this lab, feel free to work either on the lab machines in front of you, or from your own laptops. To setup X2Go on your laptops: 1. From their website ( ), download the appropriate (for your operating system) installation of the X2go client. 2. Create a new connection. Fill out the session preferences as below, replacing Login: with your username, and press OK. In this class, you remotely log in to the hpse 9 through hpse 12 machines, as well as the c125m x (replace x with 1 to 15) machines, which are the physical ones located in the lab.

2 6. To connect to the session you just configured, press the seal icon on the right. When the authentication window opens, provide your instructional account login info. 7. When the open desktop window opens, either create a new desktop (and select GNOME option or your favorite UNIX desktop) or log back into an existing session.

3 The nice thing about X2Go is that it lets you suspend a session (by just closing the window and selecting the suspend option in the dialog) and then pick up your work later. 2. Cadence Setup and Launch Cadence is the one stop shop for nearly all of your analog circuits needs. To set up Cadence on your instructional account, navigate to your home directory (you can do this by typing cd ~), and type the following commands: cp r /home/ff/ee140/fa16/cadence. cd cadence bash source cadence_setup virtuoso & NOTE: Next time you need to start cadence, navigate into this directory, retype the source command, and type virtuoso no need to remake the cadence directory! If you remake it, you will lose your existing libraries. You should see the following screens pop up:

4 For Cadence documentation, go to or click the help button in Cadence. 3. Creating a Schematic View If the Library Manager doesn t open automatically with the icfb console window, open it by clicking Tools Library Manager. In Cadence, there is a relatively straightforward hierarchical organizational structure. Libraries (the furthest left column in the library manager) are collections of Cells, and Cells are collections of Views. For example, you might have an ee140 library which has several cells such as op amp or current mirror, with each cell having views for schematic and layout. The first thing that you will need is a new library. To do this, go to the library manager and click File New Library. A new window will pop up. Type lab0 in the name field and press OK.

5 At this point, Cadence will prompt you for something called a Technology File. The technology file is collection of information and libraries that define the layers and devices available for a given process technology. To import the technology file for this class, click the Attach to an existing techfile button, press ok, and use the menu to select gpdk090. This stands for general purpose design kit, 90nm. General purpose refers to the type of process (typical choices are general purpose GP, low power LP, high performance HP, and radio frequency RF), and the 90nm refers to the minimum feature size available.

6 Now that you have a library, you can create your first schematic. Select your new lab0 library in the library manager, and click File New Cell View. When prompted, name the new cell view common_source_amp and enter schematic in the view field and select schematic from the drop down menu for the Type field, as shown below.

7 The schematic editor should open up. Now we can build a schematic representation of our circuit at the transistor level. To instantiate circuit elements in the schematic, press the i key. This will bring up a menu with fields Library, Cell, View, and Names. To put an NMOS transistor into your schematic, use the Browse button to get to gpdk090 nmos1v symbol. You can also type these references into the fields manually. As soon as you do this, you will be prompted with lots of new options. What you are actually doing at this point is instantiating a parameterized cell, or P cell for short. You can parameterize the transistor gate width, length, and fingers. Your choices will be reflected in the schematic. Instantiate a transistor of total width = 2u with 2 fingers and length = 100n. The number of fingers is essentially the number of parallel devices. Generally, the number of fingers is chosen to minimize parasitics, constrain layout area, or improve matching. Press the hide button or hit the Enter key in the instantiate menu and put the transistor into your schematic.

8 If you ever want to change a p cell s properties after placing it in the schematic, select it by clicking on it and pressing the q key. Following those same instructions, instantiate a polysilicon resistor (resnsppoly) with 2 series segements 3um long and 500nm wide. Note that there are many possibly widths and length yeilding the same resistance in practice, you may decide based on factors such as area/form factor, mismatch, or electromigration (maximum current density). Now that all of our components are in the schematic, you will need to connect them together. Press the w key to open up the Add Wire window. This will allow you to make connections between nodes. Simply click on the red squares the contacts in the schematic view, and make the connections needed for an common source amplifier circuit. Remember to connect the bulk terminals of the NMOS and the poly resistor to gnd. To navigate the schematic, use the arrow keys on the keyboard to pan around. You can zoom in/out by clicking the magnifying glass buttons on the toolbar, or with the hotkeys control Z/shift Z. You can also zoom to a specific area by click dragging with the right mouse around the region of interest. The last thing that you need is two pins to indicate the input and output of your amplifier. This way you cell view can interface with higher level schematics (more on this later). There are 4 ports we will want to expose out of the amplifier the gate (input), the drain (output), the source (ground), and the top of the resistor (vdd).

9 Press the p key to open up the Add Pins window, type the names of your pins here I used input, output, myvdd, and mygnd.make sure that you select InputOutput in the direction drop down menu, click Hide, place your pins in the schematic, and wire them up. If you followed the instructions carefully, your schematic should look like this: When it does, press the Check and Save button (in the top left, it like a box with a check mark). Leave the schematic editor open for now; we ll need it for the later portions of the lab. One more quick thing to note before you create a symbol. Sometimes it is useful to label nodes instead of directly connecting them with a wire. To connect two nodes, just label them with the same name! To create a label, press the l key, type in the desired node name, and click on the wire in the schematic. 4. Creating a Symbol View Now that your amplifier is finished, we re going to wrap it in a symbol, so that we can use it in other schematic cells, such as testbenches. To create a symbol, in the schematic editor window, click on Create Cellview From Cellview

10 Populate the window as below, and press OK. If you d like, you can edit the symbol to better visually represent an amplifier, as shown below. Some useful hotkeys are m for moving objects, and r for rotating. Again, check and save (the button on the top left), and close this window.

11 5. Circuit Simulation with ADE In order to simulate your amplifier, you will need to build a test bench. To do this, create a schematic called common_source_amp_tb in the lab0 library. First, instantiate your amplifier, again by pressing i, and then filling in Library: lab0 Cellview: common_source_amp View: Symbol. Instantiate a gnd from the analoglib library and connect it to mygnd. Instantiate a vdc also from the analoglib library, set its DC voltage property to 1V, and connect it betwen myvdd and gnd. Finally, instantiate another vsin from the analoglib library this will serve as the input to our amplifier. When you instantiate the voltage source, enter the string vin for the DC Voltage property, vamp for Amplitude, and freq_in for frequency as show below.

12 Assigning parameters to variables allows you to change them easily and sweep them in the simulator. You should also label the input and output nets to easily identify them when viewing the simulation results. Finally, check and save your schematic you may get 2 warnings, which are safe to ignore. Your testbench schematic should look like this: Now you can finally set up the simulation for your amplifier. Click Launch ADE L to open up the Virtuoso Analog Design Environment (ADE). This tool is a little bit obtuse (it was designed in around 1995) but extremely powerful. Let s start with two simple simulations: a dc simulation to determine our amplifier s DC operating point, and a transient simulation to determine its gain. There are essentially 3 steps in running a simulation in ADE, corresponding to the 3 panes in the ADE Design Environment window: 1) setting up the design variables/parameters, 2) setting up the Analyses (simulation types), and 3) setting up the simulation outputs. Let s first set up our input parameters. Right click in the Design Variables pane and select Copy from Cellview.

13 For now, set freq_in at 1G, vamp at 10m, and vdc at 450m. We ll next set up our first simulation, a DC simulation to measure the operating point. Right click in the Analyses pane, and click Edit (or press the top Choose Analyses button on the right side), and select dc. Check Save DC Operating Point as shown below.

14 We ll also set up a transient simulation again right click in the Analyses pane, and click edit as before, this time select tran, and set stop time to 10n (10 cycles of the 1G period), and accuracy to conservative, and hit OK. Finally, we re going to set up an output to measure gain. Right click in the Outputs pane and click Edit, or press the Setup Outputs button on the right side. The easiest way to set outputs is through the calculator. This is one of the most powerful features of ADE it allows you to not only view and plot the signals in your schematic, but also apply a set of built in functions to those signal (for example, divide signal, view crossing times of signals, get the amplitude, etc.). To open the calculator, press Open next to the Calculator line in the Setting Outputs window below.

15 Here, we first want to record the transient output voltage, so click on the VT button representing transient VOLTAGE expresision. The schematic will pop up and you must select a node to get it s voltage select the output node. This should populate the calculator window as shown below: Finally, click the Send buffer expression to ADE button, which looks like the gear with the green arrow as below, and close the calculator. Finally, we can double click on our output in the ADE window, and name it something sensible, like Vout.

16 The nice part about the calculator, is now we can write match functions of our outputs, to compute relevant output parameters we re going to write one to compute transient gain. Open up the calculator again, and this time, click on the Fn function button underneath the text entry window to open the function panel. In the function panel window, change the dropdown menu function type to All as shown below, to view all the functions you can apply to your outputs. In this case, we want to get the maximum and minimum of the waveform, in order to compute the gain. Enter the gain expression into the window as shown below: Again, click the Send buffer expression to ADE button, and name this output Gain. We re finally ready to run our simulation! Click the green play button in the ADE window, and save the resulting plot for the check off. Another way to view results is the Results dropdown menu of the ADE window. Simulation results can be viewed through either print, annotate, or direct plot. Click annotate, DC Node Voltages and view the schematic, and record the DC operating point.

17 You can save the state of your testbench in order to bring it back up at any time. Let s save our testbench now, as we ll use it later in the lab. In the ADE window, go to Session Save State, and click on the Cellview button. Populate the fields as shown below, giving your state a reasonable description so you can easily remember it later. Note that if you want to save multiple states for the same schematic testbench, you can simply give them different names (eg. spectre_state2).

18 To later in this ADE state, you can simply launch ADE, go to Session Load State, select the state and press OK. 6. Creating a Layout View We re now ready to create the physical layout of our design. Go back to the library manager and create a new cell view for common_source_amplifier as you did previously. This time, select layout for your new cell view.

19 This will open up an empty layout editing view. Press the e key to get to the display options menu. We will need to change the snap spacing so we can move our cursor with sufficient resolution. Change it to microns for now. Also, we will need to change our display levels to see underneath the hierarchical blocks. You can change display levels to stop at 32 so that we don t run into any problems. Mechanically, the layout is performed by drawing rectangles corresponding the metal mask, as well as to indicate doping regions, polysilicon regions, etc. The layout is done in a 2D environment as we have no control of the process thickness. Vias serve to connect different vertical layers. The technology also provides several pre laid out cells for us to use. We re going to lay out the common source amplifier as shown below. If you re comfortable doing layout, feel free to skip the instructions provided here to produce your own layout.

20 The key operations are k to measure distances with a ruler, p to create paths, r to create rectangles, c to copy, m to move an object. To bring up more options while performing any of these operations, you can press the f3 key. To change layers, select the appropriate layer on the menu on the left (as shown below). Selecting an object and pressing q allows you to edit its properties. You can navigate around by zooming and panning with the scroll wheel or Shift+Z/Ctrl+Z, or by right clicking and selecting a zoom region. Pressing f zooms to fit the drawn layout within your screen.

21 First, let s instantiate our transistor. Press i and create a 2um device with 2 fingers as in your schematic, and as shown below. Place this in your layout. Notice the layers used the green layer corresponds to the poly gate the blue is m1 contacts for the source and drain regions. The red shading is the oxide layer and the yellow layer indicates the the n doping. We want to connect the gates of the 2 fingers. Do this using the ruler by drawing a rectangle of width.52 as shown below.

22 Then, use the o key to create vias to connect up to the metals from the poly. We re going to connect up to metal 2, which requires a via from poly to M1, and M1 to M2. First create the M1_POv by changing the via definition, and then create an M2_M1 via. Place them on top of each other and centered in the gate connection, as shown below. Note that you may have to rotate the via to get it to fit. Draw a centered M1 rectangle of width.48 and height.15 on the vias, in order to ensure sufficient M1 area, as shown below.

23 Route out an M2 path of width 1.6um, and height.15 as shown below to form the input line. Then copy this trace down by.3 (such that the spacing is.15). This trace will by our ground trace. Connect the source M1 contacts of the amplifier to the ground trace, and via up from M1 to M2 to connect to the horizontal ground trace we just copied, as shown below. Also, add M1_PSUB contacts here. It is important that the substrate near the amplifier have a low impedance path to ground, so that the transistor bulk (defined by the substrate) is held at a well defined voltage.

24 We re now going to connect the drain and supply. Press i and instantiate the gpdk090 resnsppoly with 2 fingers, 500n width and 3u length as shown below. Place the resistors center to be aligned with the transistors center, at a height of.24 um above it. The resistor is p doped polysilicon, and includes a layer SiProt which is a marking layer indicating that the poly should not be salicided, which gives it a higher resistance for the same area than the poly used for transistor gates. Now, draw 2 M2 traces of width.15 and spaced.15 apart. Via down the top one to one side of the resistor (this is the drain to resistor connection), and the bottom one to the other side of the resistor using an M2_M1 via and M1 trace, as shown below. This bottom M2 trace is the is the VDD connection.

25 Finally, connect the top M2 trace to the transistor drain with an M1 trace and M2_M1 via.

26 Remember that, in the schematic and symbol, we had pins for the input and output of the inverter. Select the Metal2 layer, then on the menu bar, click Create Pin. Type the terminal names myvdd, mygnd, input, and output as you did in the schematic. Now you can draw metal squares onto the relevant nets of the inverter. 7. Design Rule Checking (DRC) Now that your amplifier cell layout is finished, we will run the DRC checker to verify that your drawn layers obey all of the design rules. Design rules are provided by the foundry performing the IC fabrication to (ideally) ensure that the IC devices you have drawn will perform as desired after the chip is made. Open the Design Rules Manual (DRM) to get a feel for some of the design rules for the various layers. The DRM can be opened with with the following shell command: evince /home/ff/ee141/gpdk090_v3.9/docs/gpdk090_drm.pdf When you are done looking through the DRM, you can start the DRC tool. First, From the Virtuoso layout menu bar, click Assura Technology. In the Assura Technology File dialog box, enter the following:

27 /home/ff/ee140/fa16/gpdk/90nm/gpdk090_v4.5/assura/assura_tech.lib and press ok. This tells the Assura tool where to look for the relevant technology information, such as the design rules and layer information. Then go to Assura Run DRC. Populate the DRC run window as shown below, changing technology to gpdk090. The results of the DRC run will be displayed in the icfb window. You will likely have errors after your first DRC attempt. The errors can be difficult to understand initially; try to decode what needs to be fixed, and ask your GSI if you get stuck. When you have no DRC errors in your layout, you will see the pop up below following the DRC run: 8. Layout vs. Schematic Verification The next step in the IC design flow is to check whether the polygons you drew in your layout view actually create the devices and connections you made in the schematic view of the circuit. To do this, we will run a layout vs. schematic (LVS) checker.

28 When you have no LVS errors in your layout, you will see the pop up below following the LVS run: If you have any LVS errors, please correct them by making the appropriate adjustments to your layout. Remember to run DRC check again to verify that the update doesn t violate any design rules. At this point, your layout now passes DRC and LVS a huge milestone in the IC design

29 process. Next, we will examine how the physical placement of transistors (layout) impacts circuit performance. 9. Parasitic Extraction with Assura This tool allows us to extract parasitic resistances and capacitances from the layout view. From the menu, click Assura Open Run, and make sure Run Name lists the current cell you are working on ( common_source_amp ). Click OK. Now, click Assura Run RCX. Adjust the settings in the setup, extraction, and netlisting tabs to match the screenshots below. Click OK to run the parasitic extractor. A successful extraction should give you a message similar to: Return to the library manager, and your common_source_amp cell should have a new view named av_extracted. 10. Parasitic Extracted Circuit Simulation To close the full loop on the design, we finally simulate our extracted layout, to see if our performance changed. In a typical chip design, the designer usually iterates between schematic design and layout a few times before being able to converge to the desired performance. To use our extracted view for simulation, we create a config view, which is a file that tells the ADE simulator what view (extracted or schematic) to use for each of the blocks in simulation. To create a config view, click on the common_source_amp_tb in the library manager, and click File new Cellview. Under type, select config, as shown below: In the popup window, first change view to Schematic. Then click Use Template and select spectre and hit OK in the Use Template and New Configuration Windows.

30 In the Hierarchy editor window, change the view for common_source_amp to av_extracted as shown below, save with the floppy disk button in the top left, and exit.

31 Re open your testbench, launch ADE, and load in your saved spectre state from earlier. Go to Setup Design. Finally, choose view name config, and click ok. If you later want toggle back to the unextracted, return to Setup Design, but choose view name schematic.

32 Get an extracted netlist by doing to Setup Netlist Recreate, and re run the simulation. 11. Deliverables A. Schematic transient simulation B. Screenshot of finished amplifier layout C. Netlist with extracted parasitics D. Extracted netlist/simulation

EE 140/240A - Full IC Design Flow Tutorial

EE 140/240A - Full IC Design Flow Tutorial Original document by Filip Maksimovic & Mike Lorek, Spring 2015, derived from earlier EE141 lab manuals Revisions for IC6 by David Burnett & Thaibao Phan, Spring 2016 Revisions made by Nandish Mehta to

More information

Experiment 0: Introduction to Cadence

Experiment 0: Introduction to Cadence UNIVERSITY OF CALIFORNIA AT BERKELEY College of Engineering Department of Electrical Engineering and Computer Sciences EE105 Lab Experiments Experiment 0: Introduction to Cadence Contents 1. Introduction...

More information

Cadence IC Design Manual

Cadence IC Design Manual Cadence IC Design Manual For EE5518 ZHENG Huan Qun Lin Long Yang Revised on May 2017 Department of Electrical & Computer Engineering National University of Singapore 1 P age Contents 1 INTRODUCTION...

More information

Amplifier Simulation Tutorial. Design Kit: Cadence 0.18μm CMOS PDK (gpdk180) (Cadence Version 6.1.5)

Amplifier Simulation Tutorial. Design Kit: Cadence 0.18μm CMOS PDK (gpdk180) (Cadence Version 6.1.5) Amplifier Simulation Tutorial Design Kit: Cadence 0.18μm CMOS PDK (gpdk180) (Cadence Version 6.1.5) Yongsuk Choi, Marvin Onabajo This tutorial provides a quick introduction to the use of Cadence tools

More information

EE 330 Spring 2018 Laboratory 2: Basic Boolean Circuits

EE 330 Spring 2018 Laboratory 2: Basic Boolean Circuits EE 330 Spring 2018 Laboratory 2: Basic Boolean Circuits Contents Objective:... 2 Part 1: Introduction... 2 Part 2 Simulation of a CMOS Inverter... 3 Part 2.1 Attaching technology information... 3 Part

More information

Cadence Tutorial 2: Layout, DRC/LVS and Circuit Simulation with Extracted Parasitics

Cadence Tutorial 2: Layout, DRC/LVS and Circuit Simulation with Extracted Parasitics Cadence Tutorial 2: Layout, DRC/LVS and Circuit Simulation with Extracted Parasitics Introduction This tutorial describes how to generate a mask layout in the Cadence Virtuoso Layout Editor. Use of DIVA

More information

Revision Notes: July2004 Generate tutorial for single transistor analysis. Based on existing schematic entry tutorial developed for ECE410

Revision Notes: July2004 Generate tutorial for single transistor analysis. Based on existing schematic entry tutorial developed for ECE410 Cadence Analog Tutorial 1: Schematic Entry and Transistor Characterization Created for the MSU VLSI program by Professor A. Mason and the AMSaC lab group. Revision Notes: July2004 Generate tutorial for

More information

VLSI Lab Tutorial 3. Virtuoso Layout Editing Introduction

VLSI Lab Tutorial 3. Virtuoso Layout Editing Introduction VLSI Lab Tutorial 3 Virtuoso Layout Editing Introduction 1.0 Introduction The purpose of this lab tutorial is to guide you through the design process in creating a custom IC layout for your CMOS inverter

More information

Design rule illustrations for the AMI C5N process can be found at:

Design rule illustrations for the AMI C5N process can be found at: Cadence Tutorial B: Layout, DRC, Extraction, and LVS Created for the MSU VLSI program by Professor A. Mason and the AMSaC lab group. Revised by C Young & Waqar A Qureshi -FS08 Document Contents Introduction

More information

Cadence Tutorial. Introduction to Cadence 0.18um, Implementation and Simulation of an inverter. A. Moradi, A. Miled et M. Sawan

Cadence Tutorial. Introduction to Cadence 0.18um, Implementation and Simulation of an inverter. A. Moradi, A. Miled et M. Sawan Cadence Tutorial Introduction to Cadence 0.18um, Implementation and Simulation of an inverter A. Moradi, A. Miled et M. Sawan Section 1: Introduction to Cadence You will see how to create a new library

More information

Cadence Tutorial: Schematic Entry and Circuit Simulation of a CMOS Inverter

Cadence Tutorial: Schematic Entry and Circuit Simulation of a CMOS Inverter Cadence Tutorial: Schematic Entry and Circuit Simulation of a CMOS Inverter Introduction This tutorial describes the steps involved in the design and simulation of a CMOS inverter using the Cadence Virtuoso

More information

Cadence Virtuoso Schematic Design and Circuit Simulation Tutorial

Cadence Virtuoso Schematic Design and Circuit Simulation Tutorial Cadence Virtuoso Schematic Design and Circuit Simulation Tutorial Introduction This tutorial is an introduction to schematic capture and circuit simulation for ENGN1600 using Cadence Virtuoso. These courses

More information

EE115C Digital Electronic Circuits. Tutorial 4: Schematic-driven Layout (Virtuoso XL)

EE115C Digital Electronic Circuits. Tutorial 4: Schematic-driven Layout (Virtuoso XL) EE115C Digital Electronic Circuits Tutorial 4: Schematic-driven Layout (Virtuoso XL) This tutorial will demonstrate schematic-driven layout on the example of a 2-input NAND gate. Simple Layout (that won

More information

Cadence Tutorial A: Schematic Entry and Functional Simulation Created for the MSU VLSI program by Andrew Mason and the AMSaC lab group.

Cadence Tutorial A: Schematic Entry and Functional Simulation Created for the MSU VLSI program by Andrew Mason and the AMSaC lab group. Cadence Tutorial A: Schematic Entry and Functional Simulation Created for the MSU VLSI program by Andrew Mason and the AMSaC lab group. Revision Notes: Aug. 2003 update and edit A. Mason add intro/revision/contents

More information

Virtuoso Layout Editor

Virtuoso Layout Editor This tutorial will cover the basic steps involved in using the Cadence layout editor called Virtuoso, extracting layout, and running simulation on the layout. The inverter layout is used as an example

More information

UNIVERSITY OF WATERLOO

UNIVERSITY OF WATERLOO UNIVERSITY OF WATERLOO UW ASIC DESIGN TEAM: Cadence Tutorial Description: Part I: Layout & DRC of a CMOS inverter. Part II: Extraction & LVS of a CMOS inverter. Part III: Post-Layout Simulation. The Cadence

More information

CPE/EE 427, CPE 527, VLSI Design I: Tutorial #2, Schematic Capture, DC Analysis, Transient Analysis (Inverter, NAND2)

CPE/EE 427, CPE 527, VLSI Design I: Tutorial #2, Schematic Capture, DC Analysis, Transient Analysis (Inverter, NAND2) CPE/EE 427, CPE 527, VLSI Design I: Tutorial #2, Schematic Capture, DC Analysis, Transient Analysis (Inverter, NAND2) Joel Wilder, Aleksandar Milenkovic, ECE Dept., The University of Alabama in Huntsville

More information

EECE 285 VLSI Design. Cadence Tutorial EECE 285 VLSI. By: Kevin Dick Co-author: Jeff Kauppila Co-author: Dr. Arthur Witulski

EECE 285 VLSI Design. Cadence Tutorial EECE 285 VLSI. By: Kevin Dick Co-author: Jeff Kauppila Co-author: Dr. Arthur Witulski Cadence Tutorial EECE 285 VLSI By: Kevin Dick Co-author: Jeff Kauppila Co-author: Dr. Arthur Witulski 1 Table of Contents Purpose of Cadence 1) The Purpose of Cadence pg. 4 Linux 1) The Purpose of Linux

More information

ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS)

ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS) ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS) Objective Part A: To become acquainted with Spectre (or HSpice) by simulating an inverter,

More information

FACULTY OF ENGINEERING MULTIMEDIA UNIVERSITY LAB SHEET DIGITAL INTEGRATED CIRCUIT

FACULTY OF ENGINEERING MULTIMEDIA UNIVERSITY LAB SHEET DIGITAL INTEGRATED CIRCUIT FACULTY OF ENGINEERING MULTIMEDIA UNIVERSITY LAB SHEET DIGITAL INTEGRATED CIRCUIT DIC1: Schematic Design Entry, Simulation & Verification DIC2: Schematic Driven Layout Drawing (SDL) Design Rule Check (DRC)

More information

EE 330 Laboratory 3 Layout, DRC, and LVS Fall 2015

EE 330 Laboratory 3 Layout, DRC, and LVS Fall 2015 EE 330 Laboratory 3 Layout, DRC, and LVS Fall 2015 Contents Objective:... 2 Part 1 Creating a layout... 2 1.1 Run DRC Early and Often... 2 1.2 Create N active and connect the transistors... 3 1.3 Vias...

More information

Lab 1: An Introduction to Cadence

Lab 1: An Introduction to Cadence GIF-4201/GEL-7016 (Micro-électronique) Lab 1: An Introduction to Cadence Schematic, simulation and layout Gabriel Gagnon-Turcotte, Mehdi Noormohammadi Khiarak and Benoit Gosselin Department of Electrical

More information

Cadence Schematic Tutorial. EEE5320/EEE4306 Fall 2015 University of Florida ECE

Cadence Schematic Tutorial. EEE5320/EEE4306 Fall 2015 University of Florida ECE Cadence Schematic Tutorial EEE5320/EEE4306 Fall 2015 University of Florida ECE 1 Remote access You may access the Linux server directly from the NEB Computer Lab using your GatorLink username and password.

More information

Lab 2. Standard Cell layout.

Lab 2. Standard Cell layout. Lab 2. Standard Cell layout. The purpose of this lab is to demonstrate CMOS-standard cell design. Use the lab instructions and the cadence manual (http://www.es.lth.se/ugradcourses/cadsys/cadence.html)

More information

ELEC451 Integrated Circuit Engineering Using Cadence's Virtuoso Layout Editing Tool

ELEC451 Integrated Circuit Engineering Using Cadence's Virtuoso Layout Editing Tool ELEC451 Integrated Circuit Engineering Using Cadence's Virtuoso Layout Editing Tool Contents Contents 1. General 2. Creating and Working On a Layout o 2.1 Undoing/Re-doing an Action o 2.2 Display Options

More information

VLSI Lab Tutorial 1. Cadence Virtuoso Schematic Composer Introduction

VLSI Lab Tutorial 1. Cadence Virtuoso Schematic Composer Introduction VLSI Lab Tutorial 1 Cadence Virtuoso Schematic Composer Introduction 1.0 Introduction The purpose of the first lab tutorial is to help you become familiar with the schematic editor, Virtuoso Schematic

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences Lab #2: Layout and Simulation

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences Lab #2: Layout and Simulation UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences Lab #2: Layout and Simulation NTU IC541CA 1 Assumed Knowledge This lab assumes use of the Electric

More information

TUTORIAL II ECE 555 / 755 Updated on September 11 th 2006 CADENCE LAYOUT AND PARASITIC EXTRACTION

TUTORIAL II ECE 555 / 755 Updated on September 11 th 2006 CADENCE LAYOUT AND PARASITIC EXTRACTION TUTORIAL II ECE 555 / 755 Updated on September 11 th 2006 CADENCE LAYOUT AND PARASITIC EXTRACTION After finishing a schematic of your design (Tutorial-I), the next step is creating masks which are for

More information

EE 330 Laboratory 3 Layout, DRC, and LVS

EE 330 Laboratory 3 Layout, DRC, and LVS EE 330 Laboratory 3 Layout, DRC, and LVS Spring 2018 Contents Objective:... 2 Part 1 creating a layout... 2 1.1 Run DRC... 2 1.2 Stick Diagram to Physical Layer... 3 1.3 Bulk Connections... 3 1.4 Pins...

More information

Process technology and introduction to physical

Process technology and introduction to physical Neuromorphic Engineering II Lab 3, Spring 2014 1 Lab 3 March 10, 2014 Process technology and introduction to physical layout Today you will start to learn to use the Virtuoso layout editor XL which is

More information

CS755 CAD TOOL TUTORIAL

CS755 CAD TOOL TUTORIAL CS755 CAD TOOL TUTORIAL CREATING SCHEMATIC IN CADENCE Shi-Ting Zhou shi-ting@cs.wisc.edu After you have figured out what you want to design, and drafted some pictures and diagrams, it s time to input schematics

More information

EE 330 Spring Laboratory 2: Basic Boolean Circuits

EE 330 Spring Laboratory 2: Basic Boolean Circuits EE 330 Spring 2013 Laboratory 2: Basic Boolean Circuits Objective: The objective of this experiment is to investigate methods for evaluating the performance of Boolean circuits. Emphasis will be placed

More information

CPE/EE 427, CPE 527, VLSI Design I: Tutorial #1, Full Custom VLSI (inverter layout)

CPE/EE 427, CPE 527, VLSI Design I: Tutorial #1, Full Custom VLSI (inverter layout) CPE/EE 427, CPE 527, VLSI Design I: Tutorial #1, Full Custom VLSI (inverter layout) Joel Wilder, Aleksandar Milenkovic, ECE Dept., The University of Alabama in Huntsville Adapted from Virginia Tech, Dept.

More information

EE115C Digital Electronic Circuits. Tutorial 2: Hierarchical Schematic and Simulation

EE115C Digital Electronic Circuits. Tutorial 2: Hierarchical Schematic and Simulation EE115C Digital Electronic Circuits Tutorial 2: Hierarchical Schematic and Simulation The objectives are to become familiar with Virtuoso schematic editor, learn how to create the symbol view of basic primitives,

More information

ECE471/571 Energy Efficient VLSI Design Project 2 Cadence Setup and Creation of an Inverter Due Date 11:30 am on Friday, February 2 nd, 2018

ECE471/571 Energy Efficient VLSI Design Project 2 Cadence Setup and Creation of an Inverter Due Date 11:30 am on Friday, February 2 nd, 2018 ECE471/571 Energy Efficient VLSI Design Project 2 Cadence Setup and Creation of an Inverter Due Date 11:30 am on Friday, February 2 nd, 2018 Introduction This project will first walk you through the setup

More information

More information can be found in the Cadence manuals Virtuoso Layout Editor User Guide and Cadence Hierarchy Editor User Guide.

More information can be found in the Cadence manuals Virtuoso Layout Editor User Guide and Cadence Hierarchy Editor User Guide. Chapter 6 Building with Layout This chapter consists of two parts. The first describes the generation of layout views and the second deals with the various tools used for verifying the layout, both physical

More information

Logging in, starting a shell tool, and starting the Cadence Tool Suite

Logging in, starting a shell tool, and starting the Cadence Tool Suite EEE 4134 VLSI I Laboratory Lab 0 (Introductory Lab) Logging into Cadence Server, Tool Setup, Cell Library Creation, Introduction to Custom IC Design flow Objectives: To login, start a shell tool and start

More information

ECE 331: Electronics Principles I Fall 2014

ECE 331: Electronics Principles I Fall 2014 ECE 331: Electronics Principles I Fall 2014 Lab #0: Introduction to Computer Modeling and Laboratory Measurements Report due at your registered lab period on the week of Sept. 8-12 Week 1 Accessing Linux

More information

DOWNLOAD PDF CADENCE WAVEFORM CALCULATOR USER GUIDE

DOWNLOAD PDF CADENCE WAVEFORM CALCULATOR USER GUIDE Chapter 1 : CSE / Cadence Tutorial The Cadence Design Communities support Cadence users and technologists interacting to exchange ideas, news, technical information, and best practices to solve problems

More information

ESE570 Spring University of Pennsylvania Department of Electrical and System Engineering Digital Integrated Cicruits AND VLSI Fundamentals

ESE570 Spring University of Pennsylvania Department of Electrical and System Engineering Digital Integrated Cicruits AND VLSI Fundamentals University of Pennsylvania Department of Electrical and System Engineering Digital Integrated Cicruits AND VLSI Fundamentals ESE570, Spring 2019 HW5: Delay and Layout Sunday, February 17th Due: Friday,

More information

Using Cadence Virtuoso, a UNIX based OrCAD PSpice like program, Remotely on a Windows Machine

Using Cadence Virtuoso, a UNIX based OrCAD PSpice like program, Remotely on a Windows Machine Using Cadence Virtuoso, a UNIX based OrCAD PSpice like program, Remotely on a Windows Machine A. Launch PuTTY. 1. Load the Saved Session that has Enable X11 forwarding and the Host Name is cvl.ece.vt.edu.

More information

Microelectronica. Full-Custom Design with Cadence Tutorial

Microelectronica. Full-Custom Design with Cadence Tutorial Área Científica de Electrónica Microelectronica Full-Custom Design with Cadence Tutorial AustriaMicroSystems C35B3 (HIT-Kit 3.70) Marcelino Santos Table of contends 1. Starting Cadence... 3 Starting Cadence

More information

CS/EE 5720/6720 Analog IC Design Tutorial for Schematic Design and Analysis using Spectre

CS/EE 5720/6720 Analog IC Design Tutorial for Schematic Design and Analysis using Spectre CS/EE 5720/6720 Analog IC Design Tutorial for Schematic Design and Analysis using Spectre Introduction to Cadence EDA: The Cadence toolset is a complete microchip EDA (Electronic Design Automation) system,

More information

The original document link is

The original document link is Tutorial:Analog Artist with HSPICE The original document link is http://www.eda.ncsu.edu/wiki/tutorial:analog_artist_with_hspice This tutorial will introduce you to the Cadence Environment: specifically

More information

Cadence Tutorial A: Schematic Entry and Functional Simulation Created for the MSU VLSI program by Professor A. Mason and the AMSaC lab group.

Cadence Tutorial A: Schematic Entry and Functional Simulation Created for the MSU VLSI program by Professor A. Mason and the AMSaC lab group. Cadence Tutorial A: Schematic Entry and Functional Simulation Created for the MSU VLSI program by Professor A. Mason and the AMSaC lab group. Revision Notes: Jan. 2006 Updated for use with spectre simulator

More information

Cadence Tutorial C: Simulating DC and Timing Characteristics 1

Cadence Tutorial C: Simulating DC and Timing Characteristics 1 Cadence Tutorial C: Simulating DC and Timing Characteristics Created for the MSU VLSI program by Professor A. Mason and the AMSaC lab group Last updated by Patrick O Hara SS15 Document Contents Introduction

More information

Tutorial on getting started in Cadence. Advanced Analog Circuits Spring 2015 Instructor: Prof. Harish Krishnaswamy TA: Jahnavi Sharma

Tutorial on getting started in Cadence. Advanced Analog Circuits Spring 2015 Instructor: Prof. Harish Krishnaswamy TA: Jahnavi Sharma Tutorial on getting started in Cadence Advanced Analog Circuits Spring 2015 Instructor: Prof. Harish Krishnaswamy TA: Jahnavi Sharma Getting Started Start Cadence from the terminal by using the command

More information

Lab 1: Cadence Custom IC design tools- Setup, Schematic capture and simulation

Lab 1: Cadence Custom IC design tools- Setup, Schematic capture and simulation Lab 1: Cadence Custom IC design tools- Setup, Schematic capture and simulation Brittany Duffy EE 330- Integrated Electronics Lab Section B Professor Randy Geiger 1/24/13 Introduction The main goal of this

More information

Virtuoso Schematic Composer

Virtuoso Schematic Composer is a schematic design tool from Cadence. In this tutorial you will learn how to put electrical components, make wire connections, insert pins and check for connection error. Start Cadence Custom IC Design

More information

AMS 0.18 µm PDK Setup and Cadence Tutorial Contributors

AMS 0.18 µm PDK Setup and Cadence Tutorial Contributors AMS 0.18 µm PDK Setup and Cadence Tutorial Contributors Muhammad Ahmed, Sita Asar, and Ayman Fayed, Power Management Research Lab, https://pmrl.osu.edu, Department of Electrical and Computer Engineering,

More information

Intro to Cadence. Brady Salz. ECE483 Spring 17

Intro to Cadence. Brady Salz. ECE483 Spring 17 Intro to Cadence Brady Salz ECE483 Spring 17 What We re Doing Learn you a Cadence Learn simulation vocabulary Basic schematic guidelines Simulation results Init Before we begin, open a terminal: $ module

More information

Introduction to laboratory exercises in Digital IC Design.

Introduction to laboratory exercises in Digital IC Design. Introduction to laboratory exercises in Digital IC Design. A digital ASIC typically consists of four parts: Controller, datapath, memory, and I/O. The digital ASIC below, which is an FFT/IFFT co-processor,

More information

EE4111 Advanced Analog Electronics Design. Spring 2009 Experiment #4 April 6 ~ April 17

EE4111 Advanced Analog Electronics Design. Spring 2009 Experiment #4 April 6 ~ April 17 EE4111 Advanced Analog Electronics Design Spring 2009 Experiment #4 April 6 ~ April 17 Setup Cadence in VLSI Lab 1) Copy files $ cp r /home/grads/ee4111ta ~/ 2) Edit your.cshrc file -- Include the following

More information

EEC 116 Fall 2011 Lab #1 Cadence Schematic Capture and Layout Tutorial

EEC 116 Fall 2011 Lab #1 Cadence Schematic Capture and Layout Tutorial EEC 116 Fall 2011 Lab #1 Cadence Schematic Capture and Layout Tutorial Dept. of Electrical and Computer Engineering University of California, Davis September 26, 2011 Reading: Rabaey Chapters 1, 2, A,

More information

Cadence Analog Circuit Tutorial

Cadence Analog Circuit Tutorial Cadence Analog Circuit Tutorial Schematic Entry for Analog Designs- Passive Circuits (RLC Circuit) In this tutorial, we will build the circuit shown in figure 1 below, using the Cadence Composer tool.

More information

Creating the inv1 cell WITHOUT power pins

Creating the inv1 cell WITHOUT power pins Simulating with extracted parasitic Let s assume I designed the cell inv1, for which I created the views schematic, symbol and layout. Creating the inv1 cell WITHOUT power pins First, create the inverter

More information

CADENCE SETUP. ECE4430-Analog IC Design

CADENCE SETUP. ECE4430-Analog IC Design CADENCE SETUP This short tutorial shows how to configure Cadence to use the NCSU Cadence Design Kit (CDK) with access to the ON Semiconductor C5 0.5-µm and the TSMC 0.35-µm CMOS processes libraries. In

More information

S Exercise 1C Testing the Ring Oscillator

S Exercise 1C Testing the Ring Oscillator S-87.3148 Exercise 1C Testing the Ring Oscillator Aalto University School of Electrical Engineering Department of Micro- and Nanosciences (ECDL) 10.9.2014 1 1 Building the test bench In this exercise,

More information

Select the technology library: NCSU_TechLib_ami06, then press OK.

Select the technology library: NCSU_TechLib_ami06, then press OK. ECE 126 Inverter Tutorial: Schematic & Symbol Creation Created for GWU by Anis Nurashikin Nordin & Thomas Farmer Tutorial adapted from: http://www.ee.ttu.edu/ee/cadence/commondirectory/final%20tutorials/digitalcircuitsimulationusingvirtuoso.doc

More information

Analog IC Schematic Capture. Mentor Graphics 2006

Analog IC Schematic Capture. Mentor Graphics 2006 Analog IC Schematic Capture Mentor Graphics 2006 Santa Clara University Department of Electrical Engineering Date of Last Revision: February 6, 2007 Table of Contents 1. Objective...3 2. Setup & Preparation...4

More information

EDA-BASED DESIGN PRACTICAL LABORATORY SESSION No. 3

EDA-BASED DESIGN PRACTICAL LABORATORY SESSION No. 3 LABORATOIRE DE SYSTEMES MICROELECTRONIQUES EPFL STI IMM LSM ELD Station nº 11 CH-1015 Lausanne Téléphone : Fax : E-mail : Site web : +4121 693 6955 +4121 693 6959 lsm@epfl.ch lsm.epfl.ch EDA-BASED DESIGN

More information

Verifying the Multiplexer Layout

Verifying the Multiplexer Layout 4 This chapter introduces you to interactive verification. You will perform two different tests in the Virtuoso layout editor while using Assura interactive verification products. One test uses the Design

More information

Laboratory 3. EE 342 (VLSI Circuit Design) - Using Spectre netlist and Calculator for simulation

Laboratory 3. EE 342 (VLSI Circuit Design) - Using Spectre netlist and Calculator for simulation EE 342 (VLSI Circuit Design) Laboratory 3 - Using Spectre netlist and Calculator for simulation By Mulong Li, 2013 1 Background knowledge Spectre: is a SPICE-class circuit simulator. It provides the basic

More information

Cadence Virtuoso Simulation of a pixel

Cadence Virtuoso Simulation of a pixel MEMS AND MICROSENSORS 2018/2019 Cadence Virtuoso Simulation of a pixel 11/12/2018 Giorgio Mussi giorgio.mussi@polimi.it Introduction In this lab, we will use Cadence Virtuoso to simulate a sub-array of

More information

CMOS Design Lab Manual

CMOS Design Lab Manual CMOS Design Lab Manual Developed By University Program Team CoreEl Technologies (I) Pvt. Ltd. 1 Objective Objective of this lab is to learn the Mentor Graphics HEP2 tools as well learn the flow of the

More information

TUTORIAL SESSION Technical Group Hoda Najafi & Sunita Bhide

TUTORIAL SESSION Technical Group Hoda Najafi & Sunita Bhide TUTORIAL SESSION 2014 Technical Group Hoda Najafi & Sunita Bhide SETUP PROCEDURE Start the Altium Designer Software. (Figure 1) Ensure that the Files and Projects tabs are located somewhere on the screen.

More information

Figure 1: ADE Test Editor

Figure 1: ADE Test Editor Due to some issues that ADE GXL simulation environment has (probably because of inappropriate setup), we will run simulations in the ADE L design environment, which includes all the necessary tools that

More information

Fall 2008: EE5323 VLSI Design I using Cadence

Fall 2008: EE5323 VLSI Design I using Cadence 1 of 23 9/17/2008 6:47 PM Fall 2008: EE5323 VLSI Design I using Cadence This tutorial has been adapted from EE5323 offered in Fall 2007. Thanks to Jie Gu, Prof. Chris Kim and Satish Sivaswamy of the University

More information

EE 330 Spring 2018 Lab 1: Cadence Custom IC design tools Setup, Schematic capture and simulation

EE 330 Spring 2018 Lab 1: Cadence Custom IC design tools Setup, Schematic capture and simulation EE 330 Spring 2018 Lab 1: Cadence Custom IC design tools Setup, Schematic capture and simulation Table of Contents Objective... 2 1. Setup... 2 Set Bash Shell for the account... 2 2. Starting Cadence Custom

More information

Analog IC Simulation. Mentor Graphics 2006

Analog IC Simulation. Mentor Graphics 2006 Analog IC Simulation Mentor Graphics 2006 Santa Clara University Department of Electrical Engineering Date of Last Revision: March 29, 2007 Table of Contents 1. Objective... 3 2. Basic Test Circuit Creation...

More information

DC Circuit Simulation

DC Circuit Simulation Chapter 2 DC Circuit Simulation 2.1 Starting the Project Manager 1. Select Project Manager from the Start All Program Cadence Release 16.5 Project Manager. 2. Select Allegro PCB Designer (Schematic) from

More information

Tutorial 3: Using the Waveform Viewer Introduces the basics of using the waveform viewer. Read Tutorial SIMPLIS Tutorials SIMPLIS provide a range of t

Tutorial 3: Using the Waveform Viewer Introduces the basics of using the waveform viewer. Read Tutorial SIMPLIS Tutorials SIMPLIS provide a range of t Tutorials Introductory Tutorials These tutorials are designed to give new users a basic understanding of how to use SIMetrix and SIMetrix/SIMPLIS. Tutorial 1: Getting Started Guides you through getting

More information

Introduction to CCV and Cadence Virtuoso for Electronic Circuit Simulation

Introduction to CCV and Cadence Virtuoso for Electronic Circuit Simulation Introduction to CCV and Cadence Virtuoso for Electronic Circuit Simulation Introduction ENGN1600 will be using the Cadence Virtuoso software suite for its circuit design and SPICE components. Part of the

More information

CMOS VLSI Design Lab 4: Full Chip Assembly

CMOS VLSI Design Lab 4: Full Chip Assembly CMOS VLSI Design Lab 4: Full Chip Assembly In this final lab, you will assemble and simulate your entire MIPS microprocessor! You will build your top level chip cell by connecting the datapath, aludec,

More information

Guide to the CSE 577 Lab and Cad tools

Guide to the CSE 577 Lab and Cad tools Guide to the CSE 577 Lab and Cad tools 1. Introduction The objective of this tutorial is to give you an overview to (1) setup the Cadence and Synopsys hspice tools for your account in IST 218 Lab, (2)

More information

ANALOG MICROELECTRONICS ( A)

ANALOG MICROELECTRONICS ( A) ANALOG MICROELECTRONICS (304-534A) IBM 130 nm CMOS Technology An Introduction to Cadence Virtuoso Layout Tool and the Analog Simulation Environment Prepared By - Azhar A. Chowdhury Updated by Ming Yang

More information

Mentor Graphics VLSI CAD Tutorials

Mentor Graphics VLSI CAD Tutorials VLSI Design Flow Using Mentor-Graphics Tools Mentor Graphics VLSI CAD Tutorials School of Engineering Santa Clara University Santa Clara, CA 95053 At the Design Center, School of Engineering, of Santa

More information

Complete Tutorial (Includes Schematic & Layout)

Complete Tutorial (Includes Schematic & Layout) Complete Tutorial (Includes Schematic & Layout) Download 1. Go to the "Download Free PCB123 Software" button or click here. 2. Enter your e-mail address and for your primary interest in the product. (Your

More information

EE434 ASIC & Digital Systems. From Layout to SPICE Simulation (Virtuoso, Calibre, HSpice) Spring 2017 Dae Hyun Kim

EE434 ASIC & Digital Systems. From Layout to SPICE Simulation (Virtuoso, Calibre, HSpice) Spring 2017 Dae Hyun Kim EE434 ASIC & Digital Systems From Layout to SPICE Simulation (Virtuoso, Calibre, HSpice) Spring 2017 Dae Hyun Kim daehyun@eecs.wsu.edu 1 Preparation for Lab2 Download the following file into your working

More information

How to Get Started. Figure 3

How to Get Started. Figure 3 Tutorial PSpice How to Get Started To start a simulation, begin by going to the Start button on the Windows toolbar, then select Engineering Tools, then OrCAD Demo. From now on the document menu selection

More information

Basic Analog Simulation in Cadence

Basic Analog Simulation in Cadence York University Department of Electrical Engineering and Computer Science EMIL Tutorial Series Tutorial #1 Basic Analog Simulation in Cadence In this tutorial we step through how to start Cadence (or at

More information

A Tutorial on Using the Cadence Virtuoso Editor to create a CMOS Inverter with CMOSIS5 Technology

A Tutorial on Using the Cadence Virtuoso Editor to create a CMOS Inverter with CMOSIS5 Technology A Tutorial on Using the Cadence Virtuoso Editor to create a CMOS Inverter with CMOSIS Technology Developed by Ted Obuchowicz VLSI/CAD Specialist, Dept. of Electrical and Computer Engineering Concordia

More information

Cadence Tutorial D: Using Design Variables and Parametric Analysis Document Contents Introduction Using Design Variables Apply Apply

Cadence Tutorial D: Using Design Variables and Parametric Analysis Document Contents Introduction Using Design Variables Apply Apply Cadence Tutorial D: Using Design Variables and Parametric Analysis Created for the MSU VLSI program by Casey Wallace Last Updated by: Patrick O Hara SS15 Document Contents Introduction Using Design Variables

More information

PSpice Tutorial. Physics 160 Spring 2006

PSpice Tutorial. Physics 160 Spring 2006 PSpice Tutorial This is a tutorial designed to guide you through the simulation assignment included in the first homework set. You may either use the program as installed in the lab, or you may install

More information

EE 330 Fall 2017 Lab 1: Cadence Custom IC design tools - Setup, Schematic capture and simulation

EE 330 Fall 2017 Lab 1: Cadence Custom IC design tools - Setup, Schematic capture and simulation EE 330 Fall 2017 Lab 1: Cadence Custom IC design tools - Setup, Schematic capture and simulation Table of Contents Objective... 2 1. Setup... 2 Set Bash Shell for the account... 2 2. Starting Cadence Custom

More information

LTSPICE MANUAL. For Teaching Module EE4415 ZHENG HAUN QUN. December 2016

LTSPICE MANUAL. For Teaching Module EE4415 ZHENG HAUN QUN. December 2016 LTSPICE MANUAL For Teaching Module EE4415 ZHENG HAUN QUN December 2016 DEPARTMENT OF ELECTRICAL AND COMPUTER ENGINNERING NATIONAL UNIVERSITY OF SINGAPORE Contents 1. Introduction... 2 1.1 Installation...

More information

Setting up an initial ".tcshrc" file

Setting up an initial .tcshrc file ECE445 Fall 2005 Introduction to SaberSketch The SABER simulator is a tool for computer simulation of analog systems, digital systems and mixed signal systems. SaberDesigner consists of the three tools,

More information

This is a brief tutorial about building a Symbol for a Schematic in Cadence IC design tool environment for hierarchical design of schematics.

This is a brief tutorial about building a Symbol for a Schematic in Cadence IC design tool environment for hierarchical design of schematics. This is a brief tutorial about building a Symbol for a Schematic in Cadence IC design tool environment for hierarchical design of schematics. 1. > cd work035 2. > cadsetup ams035 3. > virtuoso& IMPORTANT:

More information

Lab 4 LVS and Post layout Simulation

Lab 4 LVS and Post layout Simulation Lab 4 LVS and Post layout Simulation Objective: In this lab you will learn 1. How to check if your layout that you drew in lab 3 matches your schematic that you drew in lab 2. 2. How to do the post layout

More information

Circuits. L3: Fabrication and Layout -1 ( ) B. Mazhari Dept. of EE, IIT Kanpur. B. Mazhari, IITK. G-Number

Circuits. L3: Fabrication and Layout -1 ( ) B. Mazhari Dept. of EE, IIT Kanpur. B. Mazhari, IITK. G-Number EE60: CMOS Analog Circuits L: Fabrication and Layout - (8.8.0) B. Mazhari Dept. of EE, IIT Kanpur Suppose we have a Silicon wafer which is P-type and we wish to create a region within it which is N-type

More information

ECE425: Introduction to VLSI System Design Machine Problem 3 Due: 11:59pm Friday, Dec. 15 th 2017

ECE425: Introduction to VLSI System Design Machine Problem 3 Due: 11:59pm Friday, Dec. 15 th 2017 ECE425: Introduction to VLSI System Design Machine Problem 3 Due: 11:59pm Friday, Dec. 15 th 2017 In this MP, you will use automated tools to synthesize the controller module from your MP2 project into

More information

EE5323/5324 VLSI Design I/II using Cadence

EE5323/5324 VLSI Design I/II using Cadence 1 of 18 2009-1-23 23:58 Spring 2009: EE5323/5324 VLSI Design I/II using Cadence This tutorial has been adapted from EE5323 offered in Fall 2007. Thanks to Jie Gu, Prof. Chris Kim and Satish Sivaswamy of

More information

EECS 627, Lab Assignment 3

EECS 627, Lab Assignment 3 EECS 627, Lab Assignment 3 1 Introduction In this lab assignment, we will use Cadence ICFB and Calibre to become familiar with the process of DRC/LVS checks on a design. So far, we have placed and routed

More information

DRC and LVS checks using Cadence Virtuoso Version 3.0

DRC and LVS checks using Cadence Virtuoso Version 3.0 DRC and LVS checks using Cadence Virtuoso Version 3.0 Start virtuoso l l Open a virtuoso session in the directory which contains the required cds.lib and lib.def files. Command : virtuoso & Open the layout

More information

Creating a Website with Publisher 2016

Creating a Website with Publisher 2016 Creating a Website with Publisher 2016 Getting Started University Information Technology Services Learning Technologies, Training & Audiovisual Outreach Copyright 2017 KSU Division of University Information

More information

ECE471/571 Energy Ecient VLSI Design

ECE471/571 Energy Ecient VLSI Design ECE471/571 Energy Ecient VLSI Design Project 2 Cadence Setup and Creation of an Inverter Due Date 11:30pm on Friday, January 30 th 2015 Introduction This project will rst walk you through the setup for

More information

Composite Layout CS/EE N-type from the top. N-type Transistor. Diffusion Mask. Polysilicon Mask

Composite Layout CS/EE N-type from the top. N-type Transistor. Diffusion Mask. Polysilicon Mask Composite Layout CS/EE 6710 Introduction to Layout Inverter Layout Example Layout Design Rules Drawing the mask layers that will be used by the fabrication folks to make the devices Very different from

More information

EE 330 Laboratory Experiment Number 11

EE 330 Laboratory Experiment Number 11 EE 330 Laboratory Experiment Number 11 Design and Simulation of Digital Circuits using Hardware Description Languages Fall 2017 Contents Purpose:... 3 Background... 3 Part 1: Inverter... 4 1.1 Simulating

More information

EE 210 Lab Assignment #2: Intro to PSPICE

EE 210 Lab Assignment #2: Intro to PSPICE EE 210 Lab Assignment #2: Intro to PSPICE ITEMS REQUIRED None Non-formal Report due at the ASSIGNMENT beginning of the next lab no conclusion required Answers and results from all of the numbered, bolded

More information

Layout and Layout Verification. of an Inverter Circuit

Layout and Layout Verification. of an Inverter Circuit Layout and Layout Verification of an Inverter Circuit Santa Clara University Department of Electrical Engineering By Piyush Panwar Under Guidance of Dr Samiha Mourad Date of Last Revision: August 7, 2010

More information