RLDRAM II Controller MegaCore Function

Similar documents
DDR & DDR2 SDRAM Controller Compiler

DDR & DDR2 SDRAM Controller Compiler

DDR & DDR2 SDRAM Controller Compiler

Table 1 shows the issues that affect the FIR Compiler v7.1.

DSP Development Kit, Stratix II Edition

POS-PHY Level 4 MegaCore Function

DDR & DDR2 SDRAM Controller

RapidIO MegaCore Function

DDR & DDR2 SDRAM Controller

Table 1 shows the issues that affect the FIR Compiler, v6.1. Table 1. FIR Compiler, v6.1 Issues.

UTOPIA Level 2 Slave MegaCore Function

DDR and DDR2 SDRAM Controller Compiler User Guide

DSP Builder. DSP Builder v6.1 Issues. Error When Directory Pathname is a Network UNC Path

RapidIO MegaCore Function

Video and Image Processing Suite

SONET/SDH Compiler. Introduction. SONET/SDH Compiler v2.3.0 Issues

RapidIO Physical Layer MegaCore Function

Nios II Embedded Design Suite 7.1 Release Notes

DDR & DDR2 SDRAM Controller Compiler

PCI Express Compiler. System Requirements. New Features & Enhancements

FFT MegaCore Function

Enhanced Configuration Devices

Simulating the PCI MegaCore Function Behavioral Models

FPGAs Provide Reconfigurable DSP Solutions

Cyclone II FPGA Family

QDRII SRAM Controller MegaCore Function User Guide

QDRII SRAM Controller MegaCore Function User Guide

Simulating the PCI MegaCore Function Behavioral Models

Nios II Embedded Design Suite 6.1 Release Notes

RapidIO MegaCore Function

Stratix FPGA Family. Table 1 shows these issues and which Stratix devices each issue affects. Table 1. Stratix Family Issues (Part 1 of 2)

Introduction. Design Hierarchy. FPGA Compiler II BLIS & the Quartus II LogicLock Design Flow

RLDRAM II Controller MegaCore Function User Guide

Using the Serial FlashLoader With the Quartus II Software

FFT MegaCore Function User Guide

DSP Builder Release Notes

Enhanced Configuration Devices

FFT/IFFT Block Floating Point Scaling

10. Introduction to UniPHY IP

Active Serial Memory Interface

Simulating the Reed-Solomon Model

Legacy SDRAM Controller with Avalon Interface

FPGA Design Security Solution Using MAX II Devices

Simple Excalibur System

Arria II GX FPGA Development Board

8. Introduction to UniPHY IP

Excalibur Solutions DPRAM Reference Design

ZBT SRAM Controller Reference Design

Quartus II Software Version 10.0 SP1 Device Support

9. Functional Description Example Designs

Errata Sheet for Cyclone IV Devices

Stratix II FPGA Family

PCI Express Multi-Channel DMA Interface

Error Correction Code (ALTECC_ENCODER and ALTECC_DECODER) Megafunctions User Guide

24K FFT for 3GPP LTE RACH Detection

Simulating the ASMI Block in Your Design

PCI Express Compiler. PCI Express Compiler Version Issues

Using the Nios Development Board Configuration Controller Reference Designs

Introduction. Synchronous vs. Asynchronous Memory. Converting Memory from Asynchronous to Synchronous for Stratix & Stratix GX Designs

CORDIC Reference Design. Introduction. Background

AN 547: Putting the MAX II CPLD in Hibernation Mode to Achieve Zero Standby Current

Using Verplex Conformal LEC for Formal Verification of Design Functionality

Arria II GX FPGA Development Board

POS-PHY Level 2 and 3 Compiler User Guide

Nios II Performance Benchmarks

SERDES Transmitter/Receiver (ALTLVDS) Megafunction User Guide

FFT MegaCore Function User Guide

Using MAX 3000A Devices as a Microcontroller I/O Expander

Errata Sheet for Cyclone V Devices

Implementing LED Drivers in MAX and MAX II Devices. Introduction. Commercial LED Driver Chips

Estimating Nios Resource Usage & Performance

Using MAX II & MAX 3000A Devices as a Microcontroller I/O Expander

altshift_taps Megafunction User Guide

QDR II SRAM Board Design Guidelines

Nios Soft Core Embedded Processor

Practical Hardware Debugging: Quick Notes On How to Simulate Altera s Nios II Multiprocessor Systems Using Mentor Graphics ModelSim

Mixed Signal Verification of an FPGA-Embedded DDR3 SDRAM Memory Controller using ADMS

Implementing LED Drivers in MAX Devices

White Paper Performing Equivalent Timing Analysis Between Altera Classic Timing Analyzer and Xilinx Trace

MAX 10 User Flash Memory User Guide

Upgrading to UniPHY-based Controllers from ALTMEMPHY-based Controllers

RLDRAM II Controller MegaCore Function User Guide

4. TriMatrix Embedded Memory Blocks in HardCopy IV Devices

ByteBlaster II Parallel Port Download Cable

Using VCS with the Quartus II Software

4K Format Conversion Reference Design

ALTDQ_DQS2 Megafunction User Guide

Quartus II Software Version 11.0 SP1 Device Support

White Paper AHB to Avalon & Avalon to AHB Bridges

9. SEU Mitigation in Cyclone IV Devices

Toolflow for ARM-Based Embedded Processor PLDs

100G Interlaken MegaCore Function User Guide

White Paper Low-Cost FPGA Solution for PCI Express Implementation

For Quartus II Software. This Quick Start Guide will show you how to set up a Quartus

AN 610: Implementing Deterministic Latency for CPRI and OBSAI Protocols in Altera Devices

Simulating Visual IP Models with the NC-Verilog, Verilog-XL, VCS, or ModelSim (UNIX) Simulators

Simulating Nios II Embedded Processor Designs

ByteBlaster II Download Cable User Guide

Using MicroC/OS-II RTOS with the Nios II Processor Tutorial Preliminary Information

AIRbus Interface. Features Fixed width (8-, 16-, or 32-bit) data transfers (dependent on the width. Functional Description. General Arrangement

Transcription:

RLDRAM II Controller MegaCore Function November 2006, MegaCore Version 1.0.0 Errata Sheet This document addresses known errata and documentation issues for the RLDRAM II Controller MegaCore function version 1.0.0. Errata are functional defects or errors, which may cause the RLDRAM II Controller MegaCore Function to deviate from published specifications. Documentation issues include errors, unclear descriptions, or omissions from current published specifications or product documents. RLDRAM II Controller MegaCore Function v1.0.0 Issues f Altera has identified the following issues that affect the RLDRAM II Controller MegaCore function: Initialization May Cause RLDRAM II Devices to Lock Up on page 1 Timing Assignments Information (Missing from User Guide) on page 2 Quartus II Software Version 6.0 on page 3 Multiple Instances of the auk_ddr_functions.vhd File on page 3 RLDRAM II Controller Issues a MRS Command Instead of a Refresh Command on page 4 Multiple VHDL Support Files on page 4 Gate-Level Simulation Filenames on page 5 Non-integer Fed-Back Clock Phase Values on page 6 Unpredictable Results for Gate-Level Simulations (HardCopy II Devices only) on page 7 Editing the Custom Variation (non-dqs Mode) on page 7 For the most up-to-date errata for this release, refer to the errata sheet on the Altera website: www.altera.com/literature/es/es_rldram_ii_100.pdf Initialization May Cause RLDRAM II Devices to Lock Up When interfacing with RLDRAM II devices, the power-up sequence of the Stratix II or Stratix II GX devices may cause the attached RLDRAM II devices to become locked-up or to be put into an unknown state. Altera Corporation 1 ES-RLDRAM-1.6

RLDRAM II Controller MegaCore Function The RLDRAM II device locks up or goes into an unknown state. There is no hardware workaround. You can use a dummy read sequence to clear portions of the RLDRAM II devices. Contact your RLDRAM II vendor for more details. This issue is fixed in the RLDRAM II controller v6.1. Timing Assignments Information (Missing from User Guide) When generating an RLDRAM II controller that connects to RLDRAM II devices that have more than a single pair of QK output read strobes (18-bit or 36-bit RLDRAM II devices), you must apply timing assignments to the project. These timing assignments guarantee safe data transfer between the capture QK clock domain and another QK clock domain inside the FPGA. IP Toolbench automatically generates the timing assignments that are applied to the Quartus II project. The following example is for a 18-bit RLDRAM II controller that is connected to an 18-bit RLDRAM II device, for example an MT49H16M18FM-25. The read data, DQ[17:9] is captured by QK[1] and is transfered to the QK[0] clock domain inside the FPGA. From the datasheet the skew between QK[0] and QK[1] is given by the following equation: Skew = t QKQ (t QKQ0 or t QKQ1 ) = ±300 ps ±200 ps = ±100 ps So the worst case skew between QK[0] and QK[1] is ±100 ps. Therefore, you must apply a clock uncertainty of ±100 ps to the RLDRAM II interface when transfering between QK[1] and QK[0] clock domains. With a ±50-ps board skew, you can set the following clock_setup_uncertainty and clock_hold_uncertainty timing assignments in the Quartus II Assignment Editor for your project: rldramii_qk[1] rldramii_qk[0] Clock Setup Uncertainty 0.15 ns Yes rldramii_qk[1] rldramii_qk[0] Clock Hold Uncertainty 0.15 ns Yes 2 Altera Corporation

RLDRAM II Controller MegaCore Function v1.0.0 Issues These assignments are required for each attached 18 or 36-bit RLDRAM II device from the capture QK clock domain to the the target QK clock domain. This issue is fixed in the RLDRAM II controller v6.1. Quartus II Software Version 6.0 The RLDRAM II Controller MegaCore Function version 1.0.0 is not compatible with the Quartus II software v6.0. If you want to use the Quartus II software v6.0, upgrade to the RLDRAM II Controller MegaCore Function version 1.1.0. This issue will never be fixed. Multiple Instances of the auk_ddr_functions.vhd File When a project contains multiple memory MegaCore functions, the Quartus II project has multiple instances of the auk_ddr_functions.vhd file (one per MegaCore function). The Quartus II project fails during compilation. Remove the auk_ddr_functions.vhd file associated with the RLDRAM II controller from the list of files added to the Quartus II project, by choosing Add/Remove Files from Project (Project menu). Keep only the auk_ddr_functions.vhd file associated with the DDR or DDR2 SDRAM controller. Altera Corporation 3

RLDRAM II Controller MegaCore Function This issue will be fixed in a future version of the RLDRAM II controller. RLDRAM II Controller Issues a MRS Command Instead of a Refresh Command When you request a refresh in the clock cycle after a write request at the local interface, the RLDRAM II controller issues a MRS command instead of a refresh command. Your design works incorrectly. Do not request a refresh in the clock cycle that directly follows a write request at the local interface. This issue will be fixed in a future version of the RLDRAM II controller. Multiple VHDL Support Files The following Altera MegaCore functions generate the Altera VHDL support package (altera_vhdl_support.vhd): DDR or DDR2 SDRAM Controller MegaCore function QDRII SRAM Controller MegaCore function RLDRAM II Controller MegaCore function PCI Express MegaCore function When you have a Quartus II project that contains multiple MegaCore functions that are in separate directories, there are multiple instances of the altera_vhdl_support.vhd file. If the Quartus II compilation adds two or more separate copies of altera_vhdl_support.vhd, the compilation fails. 4 Altera Corporation

RLDRAM II Controller MegaCore Function v1.0.0 Issues There is no design impact. Either generate all the project MegaCore functions in the Quartus II project directory, or ensure only one instance of the altera_vhdl_support.vhd file exists in your project. 1 Ignore the warning that IP Toolbench running outside of SOPC Builder generates, when it overwrites an existing altera_vhdl_support.vhd file. To ensure your project only includes one instance of the altera_vhdl_support.vhd file, follow these steps: 1. Choose Add/Remove Files in Project (Project menu). 2. Choose all instances of altera_vhdl_support.vhd except the first instance. 3. Click Remove. This issue will be fixed in a future version of the RLDRAM II Controller MegaCore function. Gate-Level Simulation Filenames Various Quartus II software options may cause it to generate a netlist with a different filename to that expected by the gate-level simulation script. The simulation script expects <project name>.vho or.vo and <project name>_v or _vhd.sdo files to be present. You cannot run gate-level simulations. Altera Corporation 5

RLDRAM II Controller MegaCore Function For VHDL gate-level simulations, in the simulation/modelsim directory follow these steps: 1. Rename <filename>.vho file to <project name>.vho. 2. Rename <filename>.sdo file to <project name>_vhd.sdo. For Verilog HDL gate-level simulations, in the simulation/modelsim directory follow these steps: 1. Rename the <filename>.vo file to <project name>.vo. 2. Rename the <filename>.sdo file to <project name>_v.sdo. 3. In the <project name>.vo file change the following line to point to the <project name>_v.sdo file: initial $sdf_annotate("<project name>_v.sdo"); This issue will be fixed in a future version of the RLDRAM II Controller MegaCore function. Non-integer Fed-Back Clock Phase Values If you enter a non-integer clock phase into Fedback PLL phase offset, when you click Generate, IP Toolbench shows a MegaCore Function Generation Failed error and fails to generate a MegaCore function. IP Toolbench fails to generate your custom MegaCore function. Ensure that only integer values are entered into Fedback PLL phase offset. 6 Altera Corporation

RLDRAM II Controller MegaCore Function v1.0.0 Issues This issue will be fixed in a future version of the RLDRAM II Controller MegaCore function. Unpredictable Results for Gate-Level Simulations (HardCopy II Devices only) Gate-level simulations may not work as expected on HardCopy II devices, because HardCopy II timing is preliminary in the Quartus II software version 5.1. This issue affects all configurations on HardCopy II devices. There is no design impact. This issue has no workaround. This issue will be fixed in a future version of the Quartus II software. Editing the Custom Variation (non-dqs Mode) When you generate a non-dqs mode custom variation with wide databus widths, you may encounter one of the following characteristics when you try to edit the custom variation: IP Toolbench does not reload IP Toolbench reloads, but the databus width and constraints are set to the default for the selected RLDRAM II device IP Toolbench reloads, but the databus width is set to the default value for the selected RLDRAM II device and the constraints floorplan shows no chosen byte groups This issue affects non-dqs mode designs only. Altera Corporation 7

RLDRAM II Controller MegaCore Function There is no design impact, if you implement the workaround. Use one of the following workarounds: If IP Toolbench does not reload, you must regenerate a new custom variation and re-enter your parameters If IP Toolbench reloads, but the databus width and constraints are set to the default, reselect the databus width and rechoose the byte groups in the constraints floorplan If IP Toolbench reloads, but the databus width is set to the default and the constraints floorplan shows no byte groups, reselect the databus width and rechoose the byte groups in the constraints floorplan This issue will be fixed in a future version of the RLDRAM II controller. Contact Information Revision History For more information, contact Altera's mysupport website at www.altera.com/mysupport and click Create New Service Request. Choose the Product Related Request form. Table 1 shows the revision history for the RLDRAM II Controller MegaCore function v1.0.0. Table 1. RLDRAM II Controller Errata Sheet Revision History Version Date Errata Summary 1.6 November 2006 Changed for: Initialization May Cause RLDRAM II Devices to Lock Up Timing Assignments Information (Missing from User Guide) 1.5 October 2006 Added Initialization May Cause RLDRAM II Devices to Lock Up issue. 1.4 October 2006 Added Timing Assignments Information (Missing from User Guide) issue. 1.3 May 2006 Added Quartus II Software Version 6.0 issue. 1.2 January 2006 Added Multiple Instances of the auk_ddr_functions.vhd File issue. 1.1 November 2005 Added RLDRAM II Controller Issues a MRS Command Instead of a Refresh Command issue. 1.0 October 2005 First release. 8 Altera Corporation

Revision History 101 Innovation Drive San Jose, CA 95134 (408) 544-7000 www.altera.com Applications Hotline: (800) 800-EPLD Literature Services: literature@altera.com Copyright 2006 Altera Corporation. All rights reserved. Altera, The Programmable Solutions Company, the stylized Altera logo, specific device designations, and all other words and logos that are identified as trademarks and/or service marks are, unless noted otherwise, the trademarks and service marks of Altera Corporation in the U.S. and other countries. All other product or service names are the property of their respective holders. Altera products are protected under numerous U.S. and foreign patents and pending applications, maskwork rights, and copyrights. Altera warrants performance of its semiconductor products to current specifications in accordance with Altera's standard warranty, but reserves the right to make changes to any products and services at any time without notice. Altera assumes no responsibility or liability arising out of the application or use of any information, product, or service described herein except as expressly agreed to in writing by Altera Corporation. Altera customers are advised to obtain the latest version of device specifications before relying on any published information and before placing orders for products or services. Altera Corporation 9