Will Silicon Proof Stay the Only Way to Verify Analog Circuits?

Similar documents
Concurrent, OA-based Mixed-signal Implementation

Synopsys Design Platform

PDK-Based Analog/Mixed-Signal/RF Design Flow 11/17/05

Overview of Digital Design Methodologies

Harmony-AMS Analog/Mixed-Signal Simulator

VCS AMS. Mixed-Signal Verification Solution. Overview. testing with transistor-level accuracy. Introduction. Performance. Multicore Technology

Beyond Soft IP Quality to Predictable Soft IP Reuse TSMC 2013 Open Innovation Platform Presented at Ecosystem Forum, 2013

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University

Hardware Modelling. Design Flow Overview. ECS Group, TU Wien

ECE260B CSE241A Winter Tapeout. Website:

Guidelines for Verilog-A Compact Model Coding

FinFET Technology Understanding and Productizing a New Transistor A joint whitepaper from TSMC and Synopsys

AMS DESIGN METHODOLOGY

High Volume Manufacturing Supply Chain Ecosystem for 2.5D HBM2 ASIC SiPs

Testing & Verification of Digital Circuits ECE/CS 5745/6745. Hardware Verification using Symbolic Computation

TABLE OF CONTENTS 1.0 PURPOSE INTRODUCTION ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2

Custom Design Formal Equivalence Checking Based on Symbolic Simulation. Overview. Verification Scope. Create Verilog model. Behavioral Verilog

ASIC world. Start Specification Design Verification Layout Validation Finish

CHAPTER 1 INTRODUCTION

Virtuoso Custom Design Platform GXL. Open Database. PDKs. Constraint Management. Customer IP

IC Testing and Development in Semiconductor Area

Hardware Modeling using Verilog Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur

Hardware Design Verification: Simulation and Formal Method-Based Approaches William K Lam Prentice Hall Modern Semiconductor Design Series

Electrical optimization and simulation of your PCB design

DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group

Expanding IEEE Std Boundary-Scan Architecture Beyond Manufacturing Test of Printed Circuit Board Assembly

Mixed Signal Verification Transistor to SoC

Case study of Mixed Signal Design Flow

Quality Assured SoC Design Using Crossfire. A Fractal whitepaper

Warren Anderson Ravi Ram AMD Vijay Akkaraju Synopsys

Apache s Power Noise Simulation Technologies

BOOST YOUR DESIGNS TO A NEW LEVEL OF ACCURACY AND CONFIDENCE WITH VERILOG-A

Extending Digital Verification Techniques for Mixed-Signal SoCs with VCS AMS September 2014

l Some materials from various sources! Soma 1! l Apply a signal, measure output, compare l 32-bit adder test example:!

SOI REQUIRES BETTER THAN IR-DROP. F. Clément, CTO

3D-IC is Now Real: Wide-IO is Driving 3D-IC TSV. Samta Bansal and Marc Greenberg, Cadence EDPS Monterey, CA April 5-6, 2012

An Overview of Standard Cell Based Digital VLSI Design

Mixed-Signal Design Trends and Challenges

March 20, 2002, San Jose Dominance of embedded Memories. Ulf Schlichtmann Slide 2. esram contents [Mbit] 100%

Supporting Advanced-Node FinFET SoCs with 16Gbps Multi-Protocol SerDes PHY IP

James Lin Vice President, Technology Infrastructure Group National Semiconductor Corporation CODES + ISSS 2003 October 3rd, 2003

An overview of standard cell based digital VLSI design

VLSI Test Technology and Reliability (ET4076)

ANALOG IP WITH INTELLIGENT IP FROM SYSTEM TO SILICON

Design Solutions in Foundry Environment. by Michael Rubin Agilent Technologies

A VARIETY OF ICS ARE POSSIBLE DESIGNING FPGAS & ASICS. APPLICATIONS MAY USE STANDARD ICs or FPGAs/ASICs FAB FOUNDRIES COST BILLIONS

Xilinx SSI Technology Concept to Silicon Development Overview

1.4 Other Services Services offered to a broad set of customers, such as product installation and field application support.

Digital System Design Lecture 2: Design. Amir Masoud Gharehbaghi

Expert Layout Editor. Technical Description

Choosing an Intellectual Property Core

OpenPDK Production Value and Benchmark Results

A Systematic Approach to Creating Behavioral Models CDNLive, March, 2015 Bob Peruzzi, Joe Medero

Power, Performance and Area Implementation Analysis.

Taming the Challenges of 20nm Custom/Analog Design

Mixed Signal Verification of an FPGA-Embedded DDR3 SDRAM Memory Controller using ADMS

Analog, Mixed-Signal, and Advanced-Node Custom Design Scalability, Convergence and Throughput

Equivalence Validation of Analog Behavioral Models

Physical Design Implementation for 3D IC Methodology and Tools. Dave Noice Vassilios Gerousis

RTL2GDS Low Power Convergence for Chip-Package-System Designs. Aveek Sarkar VP, Technology Evangelism, ANSYS Inc.

Overview of Digital Design with Verilog HDL 1

Chapter 5: ASICs Vs. PLDs

Transforming a Leading-Edge Microprocessor Wafer Fab into a World Class Silicon Foundry. Dr. Thomas de Paly

Physical Implementation

EE595. Part VIII Overall Concept on VHDL. EE 595 EDA / ASIC Design Lab

Connecting MATLAB & Simulink with your SystemVerilog Workflow for Functional Verification

COEN-4730 Computer Architecture Lecture 12. Testing and Design for Testability (focus: processors)

Designing into a Foundry Low Power High-k Metal Gate 28nm CMOS Solution for High-Performance Analog Mixed Signal and Mobile Applications

Serial Adapter for I 2 C / APFEL and 8 channel DAC ASIC

AMS Behavioral Modeling

Cadence Rapid Adoption Kits

EEM870 Embedded System and Experiment Lecture 2: Introduction to SoC Design

Keysight Technologies Expanding IEEE Std Boundary-Scan Architecture Beyond Manufacturing Test of PCBA

23. Digital Baseband Design

A mm 2 780mW Fully Synthesizable PLL with a Current Output DAC and an Interpolative Phase-Coupled Oscillator using Edge Injection Technique

ECE520 VLSI Design. Lecture 1: Introduction to VLSI Technology. Payman Zarkesh-Ha

Process Design Kit for for Flexible Hybrid Electronics (FHE-PDK)

Early Design Review of Boundary Scan in Enhancing Testability and Optimization of Test Strategy

F O U N D R Y L E A D E R S H I P F O R T H E S o C G E N E R A T I O N. 28 Nanometer.

Programmable CMOS LVDS Transmitter/Receiver

DATASHEET VIRTUOSO LAYOUT SUITE GXL

When addressing VLSI design most books start from a welldefined

Technology Platform Segmentation

BA-BIST: Board Test from Inside the IC Out

Silicon Virtual Prototyping: The New Cockpit for Nanometer Chip Design

DIGITAL DESIGN TECHNOLOGY & TECHNIQUES

Early Models in Silicon with SystemC synthesis

IOT is IOMSLPT for Verification Engineers

ECE 459/559 Secure & Trustworthy Computer Hardware Design

How Design IP Can Accelerate and Simplify Development of Enterprise-Level Communications and Storage Systems

Virtuoso Layout Suite XL

electronic lab 11 Fedora Electronic Lab empowers hardware engineers and universities with opensource solutions for micro nano electronics engineering.

Dr. Ajoy Bose. SoC Realization Building a Bridge to New Markets and Renewed Growth. Chairman, President & CEO Atrenta Inc.

CAD Technology of the SX-9

SoC Verification Methodology. Prof. Chien-Nan Liu TEL: ext:

ESA-CNES Deep Sub-Micron program ST 65nm. Laurent Dugoujon Remy Chevallier STMicroelectronics Grenoble, France.

THERMAL GRADIENT AND IR DROP AWARE DESIGN FLOW FOR ANALOG-INTENSIVE ASICS

System-on-Chip Design for Wireless Communications

Programmable Logic Devices HDL-Based Design Flows CMPE 415

VLSI Design Automation

Transcription:

Will Silicon Proof Stay the Only Way to Verify Analog Circuits? Pierre Dautriche Jean-Paul Morin

Advanced CMOS and analog. Embedded analog Embedded RF 0.5 um 0.18um 65nm 28nm FDSOI 0.25um 0.13um 45nm 1997 2001 2005 2009 2013 Proprietary Information 2

SoC made of : Array of standard cells Hard IP. System On Chip (SOC) contents MIPI PHY PLL DDR PHY 3 Hard IP include : Fundation blocks such as Memories, Standard Cells and IO MIPI PHY Standard Cells Memories Complex mixed signal IP such as High Speed Serial and Parallel Interface. PLL DDR PHY

IP development challenges 4 Foundation Libraries Digital Logic Cells SoC Design Analog & Mixed signal IPs Clock Generators IOs & Fuse Foundation IP Mixed Signal IP Data Converters Embedded Memories Testability & Repair Solutions Silicon Technologies Interfaces PHY RF & Power Management IPs From AMS IP perspective, SoC Silicon success relies on : Agility to design IP (specs refinements, productivity, robustness, ) Matching between hard IP model and electrical characteristics Accuracy of models representing IP (stdcells, memories, hard IP, ) in digital flow Predictability of electrical characteristics Analog & RF design Flow - Marketing 2/25/2014

Full Custom Flow Ecosystem 5 PDK Process Design Rules Lithography Spice models Reliability Libraries PCells Rule decks Stacks Flow Flow Definition Scripting Qualification Infrastructure Deployment Documentation Trainings Support Designers Flow definition Early adopters Testchips EDA Vendors Collaboration Co-developments Analog & RF design Flow - Marketing 2/25/2014

Analog RF IP Design Flow - Summary 6 Schematic Design Kit Schematic StdCells IO RTL Block description Analog Flow Simulation Optimization Layout Top level Simulation Co-simulation Fast spice Digital Flow Packaging Top Implementation Floorplanning Routing Chip Assembly Packaging Symbol Abstract Generation schematic Layout abstract GDS2 Verification CDL netlist Symbol Layout abstract Symbol Generation

Analog design basic assumptions Full custom design axiom You get what you simulate Analog designer will always concentrate on schematic improvement Simulation predictability remains the fundamental of full custom design! 7

But. Is full custom designer confident enough to commit on First Time Silicon Success? No! 8

Digital world : going further in Project Automation 9 Dashboard Hardware & Software Data management Compute farm Libraries / IPs Analytics Design decisions Storage Design Flow Reporting Execution CAD Tools Scripts Defect management Database management Integrated design management

Programming language or symbolic representation of hard macro 10 Hierarchical view with macro function EDA tools have enabled SoC design hidding technology complexity by high level of abstraction and use of macro models. Binary coding and Bolean description were key ingredients. Synthesis based on Standard Cell libraries and Memory model Automatic Layout generation Digital world : programming language and scripts

Full custom world a device world 11 Full custom design relies on a very large database of proven schematics which are adapted to Si process specificities. From schematic to basic layout device Transistor level assembly and connection routing Key enablers for fast and predictable solutions are model predictibility and simulator speed. Back annotation : Addition of parasitics to schematic Extraction of parasitic and layout effects

Snapshot of Si experience in 28nm node IP Type Problem Description Product Impact FUSE IP not working at nominal value but below vdd min Blocking, functionnality issue FUSE Degradation of bit reading efficiency Relability issue High Speed Interface High Speed Link Loopback failures DFT issue High Speed Interface Skew between input signals leading to high BER Performance limitation IO Very high loading leads to high transient consumption and bump on the supply Performance limitation IO Input Leakage around +200uA observed at IO pins where internal pull-up/pull-down is enabled causing external on board pull-up or pull-down not to work Performance limitation IO IO Compensation block out of spec Blocking, functionnality issue ADC Performance limitation ADC If complementary input is applied at two channels of ADC then the performance of input-2 is degrading. With active high impedance input of channel-i of DAC, the output of channel-q is also in high impedance mode. Blocking, functionnality issue DDR DFI Init start not working Blocking, functionnality issue DDR Non functional behaviour at low fequency Performance limitation Power Management Power switch not working in certain power supply configuration Performance limitation Power Management Internal voltage reference out of spec Performance limitation Power Management Output voltage limitation Performance limitation Performance limitation is major consequence of non performing AMS IP Missing functionality still remains a major issue 12

Root cause analysis IP Type FUSE FUSE High Speed Interface High Speed Interface IO IO IO ADC ADC DDR DDR Power Management Power Management Power Management Root cause analysis Functional simulation coverage Fab dependence, sense amplifier sensitivity Functional simulation coverage User specification definition Use case not covered Use case not covered IR drop Cross talk Functional simulation coverage Functional simulation coverage Use case not covered Use case not covered Electromigration Connection through well Specification definition and use case description is the main root cause of failure. Functional and performance simulation coverage is consquently the second cause of failure 13

Advanced Node constraints Tolerant to process variation (electrical & physical) during development phase AMS-IP Life cycle 14 Compliance Design level Electrical specs Functional specs Manufacturing Implementation in apps Physical Functional Immunity w.r.t. neighborhood Usage level Delivery level Abstraction Performance models Functional model

AMS IP Verification - Challenges 15 Functional Specs Test Generation Monitor Environment Variability (Random) Test Benchs AMS IP Output Manufacturing variability (Random) Specification definition Tests definitions / execution Block abstraction Results analysis & Coverage

Pb0 : Functional specs definition 16 Functional Specification Architectural RF A(MS) Digital System Interface D SoC or SiP Modelization SystemC-AMS SystemC Sub-system Implementation Synthesis VHDL-AMS - - aip Synthesis VHDL, Verilog,... Automatic Synthesis Sub-circuit IP level Need to change cultural approach for analog design community : Move from bottom-up approach to top-down approach Develop user friendly tools

AMS IP Verification - Challenges 17 Functional Specs Test Generation Monitor Environment Variability (Random) Test Benchs AMS IP Output Manufacturing variability (Random) Tests definitions / execution

Pb1 : Tests definitions / execution 18 Functional Specs Geometric Manufacturability Dynamic Require geometry / connectivity Environment Variability (Random) Test Generation Test Benchs Timing / power Variability : output spread Sensitivity to manufacturing & environment Thermal behavior Random effects (jitter, ) Static + Plus input vector & costly simulations Power domain compliance ESD compliance + Usage information / context Need to build theories/methodologies to : Transfer functional specifications into test benches and stimuli To emulate fault vector/benches to cover unexpected events

Pb 2 : Simulation time and models 19 Functional Specs Environment Variability (Random) Netlist Test Generation Test Benches Models Test vector and test benches usage : Netlist simulation are often inadequate to cover the full set of test benches and stimuli Higher level of abstraction is requested to be able to insure sufficient test coverage

Pb2 : block abstraction 20 Purpose of the model : timing, power, thermal, functional, Validity domain: certification & check Functional / Failure mode Process / Environment variability Transistor schematic Manual Automation? Behavioral model Manufacturing variability (Random) At which confidence level can we guarantee the equivalence between the behavioral model and the transistor view?

Pb3 : Check and monitor 21 Dynamic checks during simulation: Reliability checks / Operating regions Formal waveform analysis Comparison with specifications Test bench generation Functional Specs Monitor Lack of standard language Adoption by EDA industry (market share?) Reluctance to change by design community Advanced process require more and more reliability checks Design community ask more formalism for verification AMS IP Output DB Standardization of pass/fail criteria in ad equation with functionnal and performances specification and test vector generation Analog VIP development for standard based AMS IP (USB, HDMI, PCIe, )

Analog community cultural change : Moving from bottom-up approach to top-down approach Development of tool friendly approach Analog verification : moving ahead 22 Standardization approach : Translation of electrical parameters into stimuli generation Develop of analog VIP for standard based IP Modelisation : Requirement of Mathematical approach to increase level of abstraction Formal proof of mathematical model versus IP netlist Metrics : Definition of metrics for verification coverage Evaluation of sensitivity and risk analysis

23 While Si validation remains the today practice, predictability and verification of analog IP is becoming a must let s address it!!