An overview of standard cell based digital VLSI design

Similar documents
An Overview of Standard Cell Based Digital VLSI Design

Implementing Tile-based Chip Multiprocessors with GALS Clocking Styles

Cell-Based IC Physical Design & Verification SOC Encounter. Advisor : 李昆忠 Presenter : 蕭智元

ASIC Physical Design Top-Level Chip Layout

The Design of the KiloCore Chip

FABRICATION TECHNOLOGIES

The IIT standard cell library Version 2.1

Lab. Course Goals. Topics. What is VLSI design? What is an integrated circuit? VLSI Design Cycle. VLSI Design Automation

Logic Synthesis. Logic Synthesis. Gate-Level Optimization. Logic Synthesis Flow. Logic Synthesis. = Translation+ Optimization+ Mapping

Synthesizable FPGA Fabrics Targetable by the VTR CAD Tool

Cell-Based Design Flow. TA : 吳廸優

Silicon Virtual Prototyping: The New Cockpit for Nanometer Chip Design

DIGITAL SANDBOX WORKSHOP Summer Digital Sandbox Mission

EECS 627, Lab Assignment 3

Laboratory 6. - Using Encounter for Automatic Place and Route. By Mulong Li, 2013

The Microprocessor as a Microcosm:

EE 330 Laboratory Experiment Number 11

ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS)

UNIVERSITY OF WATERLOO

Outline. SoC Encounter Flow. Typical Backend Design Flow. Digital IC-Project and Verification. Place and Route. Backend ASIC Design flow

Overview of Digital Design Methodologies

An Asynchronous Array of Simple Processors for DSP Applications

Cadence On-Line Document

Evolution of CAD Tools & Verilog HDL Definition

TUTORIAL II ECE 555 / 755 Updated on September 11 th 2006 CADENCE LAYOUT AND PARASITIC EXTRACTION

Synthesis and APR Tools Tutorial

CPE/EE 427, CPE 527, VLSI Design I: Tutorial #4, Standard cell design flow (from verilog to layout, 8-bit accumulator)

SYNTHESIS FOR ADVANCED NODES

Brief Introduction of Cell-based Design. Ching-Da Chan CIC/DSD

Improved Circuit Reliability/Robustness. Carey Robertson Product Marketing Director Mentor Graphics Corporation

23. Digital Baseband Design

EE 330 Laboratory Experiment Number 11 Design, Simulation and Layout of Digital Circuits using Hardware Description Languages

Linking Layout to Logic Synthesis: A Unification-Based Approach

CMOS VLSI Design Lab 3: Controller Design and Verification

Cell-Based Design Flow. 林丞蔚

CMOS VLSI Design Lab 3: Controller Design and Verification

MOSAID Semiconductor

ECE 459/559 Secure & Trustworthy Computer Hardware Design

CMOS VLSI Design Lab 3: Controller Design and Verification

Digital IC- Project 1. Place and Route. Oskar Andersson. Oskar Andersson, EIT, LTH, Digital IC project and Verifica=on

ProASIC PLUS FPGA Family

Digital System Design Lecture 2: Design. Amir Masoud Gharehbaghi

Lecture 11 Logic Synthesis, Part 2

EE 330 Laboratory Experiment Number 11 Design and Simulation of Digital Circuits using Hardware Description Languages

Serial Adapter for I 2 C / APFEL and 8 channel DAC ASIC

Tutorial for Cadence SOC Encounter Place & Route

Design Solutions in Foundry Environment. by Michael Rubin Agilent Technologies

A 167-processor Computational Array for Highly-Efficient DSP and Embedded Application Processing

TSBCD025 High Voltage 0.25 mm BCDMOS

Processor Architectures At A Glance: M.I.T. Raw vs. UC Davis AsAP

Hardware and Applications of AsAP: An Asynchronous Array of Simple Processors

Design of a Low Density Parity Check Iterative Decoder

ANALOG MICROELECTRONICS ( A)

KiloCore: A 32 nm 1000-Processor Array

Part B. Dengxue Yan Washington University in St. Louis

EECE 285 VLSI Design. Cadence Tutorial EECE 285 VLSI. By: Kevin Dick Co-author: Jeff Kauppila Co-author: Dr. Arthur Witulski

DESIGN STRATEGIES & TOOLS UTILIZED

CMOS Design Lab Manual

Bits and Pieces of CS250 s Toolflow

Graphics: Alexandra Nolte, Gesine Marwedel, Universität Dortmund. RTL Synthesis

AMchip architecture & design

Hardware Modeling. Hardware Description. ECS Group, TU Wien

Abstract Editor (Last updated: Oct. 23, 2008)

PG Certificate. VLSI Design & Verification (RTL using Verilog, FPGA Design Flow & Verification) (Live Project)

Tutorial for Encounter

EE 330 Spring Laboratory 2: Basic Boolean Circuits

ECE425: Introduction to VLSI System Design Machine Problem 3 Due: 11:59pm Friday, Dec. 15 th 2017

101-1 Under-Graduate Project Digital IC Design Flow

Bits and Pieces of CS250 s Toolflow

EE 4755 Digital Design Using Hardware Description Languages

A Hexagonal Shaped Processor and Interconnect Topology for Tightly-tiled Many-Core Architecture

Physical Placement with Cadence SoCEncounter 7.1

Physical Synthesis and Electrical Characterization of the IP-Core of an IEEE-754 Compliant Single Precision Floating Point Unit

Cadence Tutorial A: Schematic Entry and Functional Simulation Created for the MSU VLSI program by Andrew Mason and the AMSaC lab group.

Introduction to laboratory exercises in Digital IC Design.

Spiral 2-8. Cell Layout

Design and Analysis of Ultra Low Power Processors Using Sub/Near-Threshold 3D Stacked ICs

Circuit Design and Simulation with VHDL 2nd edition Volnei A. Pedroni MIT Press, 2010 Book web:

FPGA Implementation and Validation of the Asynchronous Array of simple Processors

VLSI Design Automation

DIGITAL DESIGN TECHNOLOGY & TECHNIQUES

A 167-processor 65 nm Computational Platform with Per-Processor Dynamic Supply Voltage and Dynamic Clock Frequency Scaling


RISECREEK: From RISC-V Spec to 22FFL Silicon

Introduction to CMOS VLSI Design (E158) Project 2 Spring 2008

TABLE OF CONTENTS 1.0 PURPOSE INTRODUCTION ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2

A Non-Volatile Microcontroller with Integrated Floating-Gate Transistors

Floorplan and Power/Ground Network Co-Synthesis for Fast Design Convergence

310/ ICTP-INFN Advanced Tranining Course on FPGA and VHDL for Hardware Simulation and Synthesis 27 November - 22 December 2006

VLSI Design Automation

RTL Coding General Concepts

Synopsys Design Platform

Batch Processing: The Complete Synthesize, Place, and Route Flow

CMOS VLSI Design. Final Project

An Interconnect-Centric Design Flow for Nanometer Technologies

CMOS VLSI Design Lab 2: Datapath Design and Verification

VLSI Design Automation. Calcolatori Elettronici Ing. Informatica

Overview. Design flow. Principles of logic synthesis. Logic Synthesis with the common tools. Conclusions

A Design Tradeoff Study with Monolithic 3D Integration

Interconnect Delay Aware RTL Verilog Bus Architecture Generation for an SoC

Transcription:

An overview of standard cell based digital VLSI design Implementation of the first generation AsAP processor Zhiyi Yu and Tinoosh Mohsenin VCL Laboratory UC Davis

Outline Overview of standard cellbased design Overview of AsAP Implementation of the first generation AsAP

Standard cell based IC vs. Custom design IC Standard cell based IC: Design using standard cells Standard cells come from library provider Many different choices for cell size, delay, leakage power Many EDA tools to automate this flow Shorter design time Custom design IC: Design all by yourself Higher performance

Standard cell based VLSI design flow Front end System specification and architecture HDL coding & behavioral simulation Synthesis & gate level simulation Back end Placement and routing DRC (Design Rule Check), LVS (Layout vs Schematic) dynamic simulation and static analysis

Outline Overview of standard cell-based design Overview of AsAP Implementation of the first generation AsAP

AsAP (Asynchronous Array of Simple Processors) A processing chip containing multiple uniform simple processor elements Each processor has its local clock generator Each processor can communicate with its neighbor processors using dual-clock FIFOs

Diagram of a 3x3 AsAP In- FIFO0 In- FIFO1 Inst Mem ALU MAC Control Data Mem Clock Output More information: http://www.ece.ucdavis.edu/vcl/asap/

Outline Overview of standard cell-based design Overview of AsAP Implementation of the first generation AsAP

Simple diagram of the frontend design flow System Specification RTL Coding Synthesis Gate level code Ex: c =!a & b INV (.in (a),.out (a_inv)); AND (.in1 (a_inv),.in2 (b),.out (c));

Simple diagram of the backend design flow gate level Verilog from synthesis Place & Route Final layout (go for fabrication) Gate level Verilog DRC LVS Design rule check Layout vs. schematic Timing information Gate level dynamic and/or static analysis

Back-end design of AsAP Technology: TSMC 0.18 μm CMOS Standard cell library: Artisan Tools Synthesis: Synopsis Design compiler Placement & Route: Cadence Encounter DRC & LVS: Calibre Static timing analysis: Primetime

Flow of placement and routing Import needed files Floorplan Placement & in-place optimization Clock tree generation Routing

Import needed files Gate level verilog (.v) Geometry information (.lef) Timing information (.lib) INV (.in (a),.out (a_inv)); AND (.in1 (a_inv),.in2 (b),.out (c)); b INV: 1um width AND: 2 um width a INV AND C INV: 1ns delay; AND: 2 ns delay Delay (a->c): 1ns + 2ns = 3ns

Floorplan Size of chip Location of Pins Location of main blocks Power supply: give enough power for each gate Power supply (1.8V) 1.75v 1.7v (need another power) 1.65v current VDD (Metal) Gate 1 Gate 2 Gate 3 Gate 4 VSS Voltage drop equation: V2 = V1 I * R

Floorplan of a single processor Inst Mem Data Mem ALU MAC Control Clock InFIFO 0 InFIFO 0

Placement & in-placement optimization Placement: place the gates In-placement optimization Why: timing information difference between synthesis and layout (wire delay) How: change gate size, insert buffers Should not change the circuit function!!

Placement of a single processor

Clock tree Main parameters: skew, delay, transition time

Clock tree of single processor

Routing Connect the gates using wires Two steps Connect the global signals (power) Connect other signals

Metal Layer Topology Routing

Layout of a single processor Area: 0.8mm x 0.8mm Estimated speed: 450 MHz

Layout of the first generation 6x6 AsAP Area: 30 mm^2 in 180 nm CMOS 36 processors 114 PADs One processor

Verification after layout DRC (design rule check) LVS (layout vs. schematic).gds vs. (verilog + spice module) Gate level verilog dynamic simulation Mainly check the function Different with synthesis result

Useful tools Dynamic Simulation: Modelsim (Mentor), NC-verilog (Cadence), Active-HDL Synthesis: Design-compiler, design-analyzer (Synopsys) Placement & Routing Encounter & icfb (Cadence) Astro (Synopsys) DRC & LVS Calibre (Mentor) Dracula (Cadence) Static Analysis Primetime (Synsopsys)