VHDL: RTL Synthesis Basics. 1 of 59

Similar documents
Lecture 12 VHDL Synthesis

Synthesis from VHDL. Krzysztof Kuchcinski Department of Computer Science Lund Institute of Technology Sweden

Sequential Logic - Module 5

Synthesizable Verilog

VHDL Modeling Behavior from Synthesis Perspective -Part B - EL 310 Erkay Savaş Sabancı University

VHDL for Synthesis. Course Description. Course Duration. Goals

VHDL simulation and synthesis

EECS150 - Digital Design Lecture 5 - Verilog Logic Synthesis

Synthesis vs. Compilation Descriptions mapped to hardware Verilog design patterns for best synthesis. Spring 2007 Lec #8 -- HW Synthesis 1

The Verilog Language COMS W Prof. Stephen A. Edwards Fall 2002 Columbia University Department of Computer Science

Synthesis of Language Constructs. 5/10/04 & 5/13/04 Hardware Description Languages and Synthesis

EEL 4783: HDL in Digital System Design

Digital Design with SystemVerilog

Logic Synthesis. EECS150 - Digital Design Lecture 6 - Synthesis

CSE140L: Components and Design Techniques for Digital Systems Lab

VHDL And Synthesis Review

DESCRIPTION OF DIGITAL CIRCUITS USING VHDL

Verilog for High Performance

CSE140L: Components and Design

Control and Datapath 8

EECS150 - Digital Design Lecture 10 Logic Synthesis

Verilog Tutorial. Introduction. T. A.: Hsueh-Yi Lin. 2008/3/12 VLSI Digital Signal Processing 2

The University of Alabama in Huntsville ECE Department CPE Midterm Exam February 26, 2003

Midterm Exam Thursday, October 24, :00--2:15PM (75 minutes)

VHDL. VHDL History. Why VHDL? Introduction to Structured VLSI Design. Very High Speed Integrated Circuit (VHSIC) Hardware Description Language

8 Register, Multiplexer and

ECE 2300 Digital Logic & Computer Organization. More Sequential Logic Verilog

!"#$%&&"'(')"*+"%,%-".#"'/"'.001$$"

Homework deadline extended to next friday

CS232 VHDL Lecture. Types

Recommended Design Techniques for ECE241 Project Franjo Plavec Department of Electrical and Computer Engineering University of Toronto

N-input EX-NOR gate. N-output inverter. N-input NOR gate

Two HDLs used today VHDL. Why VHDL? Introduction to Structured VLSI Design

Verilog introduction. Embedded and Ambient Systems Lab

Verilog for Synthesis Ing. Pullini Antonio

FPGA Design Challenge :Techkriti 14 Digital Design using Verilog Part 1

CS211 Digital Systems/Lab. Introduction to VHDL. Hyotaek Shim, Computer Architecture Laboratory

Lecture 15: System Modeling and Verilog

ECE 545 Lecture 6. Behavioral Modeling of Sequential-Circuit Building Blocks. George Mason University

COE 405, Term 062. Design & Modeling of Digital Systems. HW# 1 Solution. Due date: Wednesday, March. 14

VHDL Essentials Simulation & Synthesis

Note: Closed book no notes or other material allowed, no calculators or other electronic devices.

Why Should I Learn This Language? VLSI HDL. Verilog-2

The process. Sensitivity lists

EECS150 - Digital Design Lecture 10 Logic Synthesis

קורס VHDL for High Performance. VHDL

UNIT I Introduction to VHDL VHDL: - V -VHSIC, H - Hardware, D - Description, L Language Fundamental section of a basic VHDL code Library :

Introduction to VHDL. Main language concepts

Speaker: Kayting Adviser: Prof. An-Yeu Wu Date: 2009/11/23

FPGA for Software Engineers

State Machine Descriptions

EECS150 - Digital Design Lecture 20 - Finite State Machines Revisited

Part 4: VHDL for sequential circuits. Introduction to Modeling and Verification of Digital Systems. Memory elements. Sequential circuits

ECE 448 Lecture 4. Sequential-Circuit Building Blocks. Mixing Description Styles

ECEU530. Homework 4 due Wednesday Oct 25. ECE U530 Digital Hardware Synthesis. VHDL for Synthesis with Xilinx. Schedule

Sequential VHDL. Katarzyna Radecka. DSD COEN 313

Inferring Storage Elements

Verilog Sequential Logic. Verilog for Synthesis Rev C (module 3 and 4)

DIGITAL LOGIC WITH VHDL (Fall 2013) Unit 6

Assignment. Last time. Last time. ECE 4514 Digital Design II. Back to the big picture. Back to the big picture

Luleå University of Technology Kurskod SMD152 Datum Skrivtid

Quick Introduction to SystemVerilog: Sequental Logic

ECE 2300 Digital Logic & Computer Organization. More Verilog Finite State Machines

Timing in synchronous systems

CPE/EE 422/522. Chapter 8 - Additional Topics in VHDL. Dr. Rhonda Kay Gaede UAH. 8.1 Attributes - Signal Attributes that return a value

Writing VHDL for RTL Synthesis

Outline. CPE/EE 422/522 Advanced Logic Design L05. Review: General Model of Moore Sequential Machine. Review: Mealy Sequential Networks.

Lecture 9. VHDL, part IV. Hierarchical and parameterized design. Section 1 HIERARCHICAL DESIGN

Chapter 4 :: Topics. Introduction. SystemVerilog. Hardware description language (HDL): allows designer to specify logic function only.

ECE Digital Design Laboratory. Lecture 3 Finite State Machines!

Verilog. What is Verilog? VHDL vs. Verilog. Hardware description language: Two major languages. Many EDA tools support HDL-based design

CprE 583 Reconfigurable Computing

Graduate Institute of Electronics Engineering, NTU. Lecturer: Chihhao Chao Date:

Schematic design. Gate level design. 0 EDA (Electronic Design Assistance) 0 Classical design. 0 Computer based language

CSE 260 Introduction to Digital Logic and Computer Design. Exam 1. Your name 2/13/2014

ECEN 468 Advanced Logic Design

Synthesis of Combinational and Sequential Circuits with Verilog

ECE 545 Lecture 5. Data Flow Modeling in VHDL. George Mason University

ECE 551 Digital System Design and Synthesis. Instructor: Kewal K. Saluja. Midterm Exam

EECS Components and Design Techniques for Digital Systems. Lec 07 PLAs and FSMs 9/ Big Idea: boolean functions <> gates.

Computer-Aided Digital System Design VHDL

ECE 2300 Digital Logic & Computer Organization. More Verilog Finite State Machines

DIGITAL LOGIC DESIGN VHDL Coding for FPGAs Unit 6

Introduction to VHDL #3

EECS Components and Design Techniques for Digital Systems. Lec 20 RTL Design Optimization 11/6/2007

A Brief Introduction to Verilog Hardware Definition Language (HDL)

ECE U530 Digital Hardware Synthesis. Course Accounts and Tools

IT T35 Digital system desigm y - ii /s - iii

VHDL. Official Definition: VHSIC Hardware Description Language VHISC Very High Speed Integrated Circuit

RTL Power Estimation and Optimization

Chapter 2 Basic Logic Circuits and VHDL Description

Department of Electronics & Communication Engineering Lab Manual E-CAD Lab

Sequential Logic Design

INSTITUTE OF AERONAUTICAL ENGINEERING Dundigal, Hyderabad ELECTRONICS AND COMMUNICATIONS ENGINEERING

Sequential Circuit Design: Principle

Hardware Description Languages. Modeling Complex Systems

Chapter 6 Combinational-Circuit Building Blocks

Verilog Fundamentals. Shubham Singh. Junior Undergrad. Electrical Engineering

EEL 4783: Hardware/Software Co-design with FPGAs

VHDL Synthesis Reference

Transcription:

VHDL: RTL Synthesis Basics 1 of 59

Goals To learn the basics of RTL synthesis. To be able to synthesize a digital system, given its VHDL model. To be able to relate VHDL code to its synthesized output. To appreciate the fact that synthesis is very sensitive to how the VHDL code is written! To learn how to write VHDL code that is efficient at synthesis. To get an idea about the synthesis of integer subtypes. To understand the synthesis of combinational logic. To understand the use of VHDL in synthesizing sequential logic. To get familiar with synthesizable process templates. To learn the cases when latches and flip-flops are inferred. To know how tristate elements are inferred. 2 of 59

RTL Synthesis Synthesis is an automatic method of converting a higher level of abstraction (RTL) to a lower level of abstraction (gate level netlists). Synthesis produces technology-specific implementation from technology-independent VHDL description. Not all VHDL can be used for synthesis. There are the VHDL subset for synthesis and synthesis style description. Synthesis is very sensitive to how the VHDL code is written! Gate level netlists are optimized for area, speed, power, or testability. Technology libraries RTL description Design constraints The synthesis process Gate-level netlists Design attributes 3 of 59

RTL Synthesis (Cont.) The essence of RTL is that you define all the registers in the system and the transfers between those registers that occur on each clock cycle (i.e., the combinational logic). Registers are described either: 1. explicitly through component instantiation, or 2. implicitly through inference (following a few simple rules) Combinational logic is described by: sequential control statements, subprograms, or concurrent statements. RTL synthesis describes the behavior of system at each clock period. RTL synthesis is used for synchronous design. 4 of 59

RTL Synthesis (Cont.) An RTL architecture can be divided into a datapath and control: Datapath: performs operations on data (i.e., ALU), Control: tells datapath, memory, etc. what to do. The datapath flows left to right, whereas the control flows bottom up. Critical path: critical timing path in a logical circuit is the longest sensitizable path from primary inputs to primary outputs. The critical path in the circuit limits the maximum clock frequency. Synthesis tools examine all signal paths and spot the critical path. Data in Register Data out Combinational logic Clock, set, reset signals Control signals 5 of 59

RTL Synthesis (Cont.) It is recommended to use RTL code whenever possible as it provides the following: 1. Readable code 2. Ability to use same code for synthesis and simulation 3. Portable code for migration to different technologies 4. Resusable code for later designs 6 of 59

Synthesis of Integer Subtypes Number of bits in hardware depends on the object type. Number of bits required to represent integer subtypes depends on the largest value of the type. If an integer has negative values, 2 s complement format is used. Example: 1 (Class) Show how each of the types below are synthesized. SUBTYPE int 1 IS integer RANGE 0 TO 15; SUBTYPE int 2 IS integer RANGE 10 TO 31; SUBTYPE int 3 IS positive RANGE 25 DOWNTO 5; TYPE int 4 IS RANGE -128 TO +15; SIGNAL a: int 1; -- 4 bits unsigned integer SIGNAL b: int 2; -- 5 bits unsigned integer SIGNAL c: int 3; -- 5 bits unsigned integer SIGNAL d: int 4; -- 8 bits two s complement integer SIGNAL e: integer; -- 32 bits two s complement integer 7 of 59

Synthesis of Signal Assignment Statements Example: 2 (Class) Show how the following selective concurrent signal assignment statement is synthesized. LIBRARY ieee; USE ieee.std logic 1164.ALL; ENTITY mux IS PORT( a, b, c, d: IN std logic vector (3 DOWNTO 0); s: IN std logic vector (1 DOWNTO 0); x: OUT std logic vector (3 DOWNTO 0)); END ENTITY mux; ARCHITECTURE rtl OF mux IS a[3:0] WITH s SELECT b[3:0] x <= a WHEN "00", b WHEN "01", c[3:0] c WHEN "10", d WHEN "11", d[3:0] "- - - - " WHEN OTHERS; END ARCHITECTURE rtl; Don t care simplifies synthesized logic. 0 1 2 3 s[1:0] x[3:0] 8 of 59

Synthesis of Process Statements Process statements can by synthesized to either: 1. Pure combinational logic, or 2. A sequential logic with latches or flip-flips inferred from the given VHDL code. For pure combinational logic synthesis of process statements, 3 rules must be obeyed: 1. The sensitivity list must be complete (i.e., contains all signals read by the process). 2. There must be no incomplete assignments (i.e., all outputs must be assigned for all input conditions). 3. There must be no feedback (i.e., no signal or variable may be read by the process and then subsequently assigned by the process). 9 of 59

Synthesis of Variables and Signals Example: 3 (Class) Show how the assignment statements below are synthesized. ENTITY gate IS PORT( a, b, c, d: IN bit; z: OUT bit); END ENTITY gate; ARCHITECTURE behav OF gate IS pg: PROCESS (a, b, c, d) IS VARIABLE t1, t2: bit; t1 := a XOR b; t2 := c XOR d; z <= t1 NOR t2; END PROCESS pg; END ARCHITECTURE behav; a b c d t1 t2 z 10 of 59

Synthesis of Variables and Signals (Cont.) Example: 4 (Class) Show how the following assignment statements are synthesized. ENTITY gate IS PORT( a, b, c: IN bit; z: OUT bit); END ENTITY gate; ARCHITECTURE behav OF gate IS pg: PROCESS (a, b, c) IS VARIABLE t1, t2: bit; t1 := a AND b; t2 := t1 XOR c; t1 := a NAND t2; z <= t1 NOR t2; END PROCESS pg; END ARCHITECTURE behav; Variable t1 has generated two different wires. 11 of 59

Synthesis of Variables and Signals (Cont.) Example: 5 (Class) Show how the following assignment statements are synthesized. ENTITY gate IS PORT( s1, s2, s3: IN bit; s4: OUT bit); END ENTITY gate; ARCHITECTURE behav OF gate IS p1: PROCESS (s1, s2, s3) IS VARIABLE v: bit; v := s1 AND s2; s1 s4 <= v OR s3; s2 END PROCESS p1; END ARCHITECTURE behav; s3 v s4 A latch is not required as v is temporary and need not be saved in a latch. 12 of 59

Synthesis of Variables and Signals (Cont.) Example: 6 (Class) Comment on the synthesis output if you reverse the order of execution of the sequential statements in Example 5. ENTITY gate IS PORT( s1, s2, s3: IN bit; s4: OUT bit); END ENTITY gate; ARCHITECTURE behav OF gate IS p1: PROCESS (s1, s2, s3) IS VARIABLE v: bit; s4 <= v OR s3; v := s1 AND s2; END PROCESS p1; END ARCHITECTURE behav; This code contains a feedback. v is read by the process and then assigned by it. v needs to retain its value for the next process run. Compiler might generate an error, produce the previous circuit, or infer a latch. 13 of 59

Synthesis of For-Loop Statements FOR-LOOPs are the only ones typically supported for synthesis. Example: 7 (Class) Show how the following for-loop is synthesized. ENTITY example IS GENERIC( n: integer := 4); PORT( a: IN bit vector (0 TO n-1); f: OUT bit; END ENTITY example; ARCHITECTURE behav OF example IS fl: PROCESS (a) IS VARIABLE v: bit; v := 0 ; FOR j IN a RANGE LOOP v := v XOR a(j); 0 a(0) END LOOP; f <= v; END PROCESS fl; END ARCHITECTURE behav; a(1) a(2) a(3) f 14 of 59

Synthesis of For-Loop Statements (Cont.) Example: 8 (Class) Show how the following DEMUX is synthesized. LIBRARY ieee; USE ieee.std logic 1164.ALL; USE ieee.numeric std.all; -- for the to integer function ENTITY demux IS PORT( a: IN unsigned (1 DOWNTO 0); d: IN std logic; z: OUT unsigned (3 DOWNTO 0)); END ENTITY demux; ARCHITECTURE rtl OF demux IS dm: PROCESS (a, d) IS VARIABLE temp: integer RANGE 0 TO 3; temp := to integer (a); -- converts an unsigned into an integer. 15 of 59

Synthesis of For-Loop Statements (Cont.) Example 8: (Cont.). FOR j IN z RANGE LOOP IF temp = j THEN z(j) <= d; ELSE z(j) <= 0 ; END IF; END LOOP END PROCESS dm; END ARCHITECTURE rtl; d a[0] a[1] z[3] z[2] z[1] z[0] NOR s are used instead of AND s for area/speed optimizations. When the for-loop is expanded, we get the following IF statements: IF temp = 0 THEN z(0) <= d; ELSE z(0) <= 0 ; END IF; IF temp = 1 THEN z(1) <= d; ELSE z(1) <= 0 ; END IF; IF temp = 2 THEN z(2) <= d; ELSE z(2) <= 0 ; END IF; IF temp = 3 THEN z(3) <= d; ELSE z(3) <= 0 ; END IF; 16 of 59

Inferring Latches A transparent latch will be inferred while synthesizing a process in two situations: 1. Some branches of IF or CASE statements are incompletely specified and no default output values are defined before these two statements. 2. A clock level detection condition is checked in IF or WAIT (or even in signal assignment statement (conditional or selective)). In other words, a latch is inferred if a path through the code exists such that a particular variable or signal is not assigned a new value. The latch will hold the value of that variable or signal. On the other hand, a latch will not be generated if a variable or signal is assigned a value in all branches of the IF or CASE statements. 17 of 59

Synthesis of IF Statements IF statements synthesize to either elementary gates or muxs. IF s THEN y <= a; ELSE y <= b; END IF; b s s y b 0 y a a 1 18 of 59

Synthesis of IF Statements (Cont.) Example: 9 (Class) Show how the IF statement below is synthesized. ENTITY sequence IS PORT( x, e, f: IN bit; m: IN boolean; c: OUT bit); END ENTITY sequence; ARCHITECTURE comb OF sequence IS SIGNAL r: bit; ps: PROCESS (x, e, f, m, r) IS r <= e OR x; m IF m THEN c <= r AND f; ELSE f c <= f; END IF; END PROCESS ps; END ARCHITECTURE comb; e x r 0 c 1 19 of 59

Synthesis of IF Statements (Cont.) Example: 10 (Class) Show how the IF statement below is synthesized. ENTITY circuit IS PORT( a, b, x, y, z: IN bit; f: OUT bit); END ENTITY circuit; ARCHITECTURE model OF circuit IS p1: PROCESS (a, b, x, y, z) IS VARIABLE v: bit; IF x = 1 AND y = 1 THEN v := a; z ELSE v := b; y END IF; x IF z = 0 THEN v := NOT v; b END IF; f <= v; END PROCESS p1; END ARCHITECTURE model; 0 a 1 v 0 1 v f 20 of 59

Synthesis of IF Statements (Cont.) Example: 11 (Class) Show how the IF statement below is synthesized. ENTITY ct IS PORT( a, b, c, x, y, z: f: OUT bit); END ENTITY ct; IN bit; ARCHITECTURE model OF ct IS p2: PROCESS (a, b, c, x, y, z) IS f <= 0 ; x IF x = 0 THEN y f <= a; z ELSIF y = 0 THEN f <= b; ELSIF z = 1 THEN f <= c; END IF; END PROCESS p2; END ARCHITECTURE model; 0 c 0 1 b 0 1 a 0 1 f 21 of 59

Synthesis of IF Statements (Cont.) Example: 12 (Individual) Build a circuit that takes two single-bit inputs and asserts its output when the second input is greater than the first one. Example: 13 (Class) Show how the IF statement below is synthesized. ENTITY compare IS PORT( a, b: IN bit; z: OUT bit); END ENTITY compare; ARCHITECTURE test OF compare IS pc: PROCESS (a, b) IS IF b > a THEN z <= b; a ELSE z <= a; b END IF; END PROCESS pc; END ARCHITECTURE test; z 22 of 59

Synthesis of IF Statements (Cont.) Example: 14 (Class) Show how this priority encoder is synthesized. ENTITY priority IS PORT( sel: IN bit vector (0 TO 3); z: OUT bit vector (0 TO 2)); END ENTITY priority; ARCHITECTURE behav OF priority IS pp: PROCESS (sel) IS IF sel(0) = 1 THEN z <= "000"; ELSIF sel(1) = 1 THEN z <= "001"; ELSIF sel(2) = 1 THEN z <= "010"; ELSIF sel(3) = 1 THEN z <= "011"; ELSE z <= "111"; END IF; END PROCESS pp; END ARCHITECTURE behav; sel[2] sel[0] sel[1] sel[3] sel[0:3] priority z[0:2] z[2] z[0] z[1] 23 of 59

Synthesis of IF Statements (Cont.) Example: 15 (Class) Show how the following code for a latch will be synthesized. ENTITY latch IS GENERIC( delay: time := 1 ns); PORT( a, en: IN bit; q, qn: OUT bit); END ENTITY latch; ARCHITECTURE behav OF latch IS pl: PROCESS (a, en) IS IF en = 1 THEN q <= a AFTER delay; qn <= NOT (a) AFTER delay; END IF; END PROCESS pl; END ARCHITECTURE behav; a en d q clk qn q qn Optimization uses the qn output instead of installing another latch. Rule: Synthesis ignores inertial and transport delays. 24 of 59

Synthesis of IF Statements (Cont.) Example: 16 (Class) Show how the IF statement below is synthesized. ENTITY gate IS PORT( a, b: IN bit; c: OUT bit); END ENTITY gate; ARCHITECTURE behav OF gate IS pl: PROCESS (a, b) IS VARIABLE v: bit; IF b = 0 THEN v := a; END IF; c <= NOT (v); END PROCESS pl; END ARCHITECTURE behav; a b d q clk qn v c v is not assigned in the ELSE branch of IF statement. This implies a latch to keep the value of v when b = 1. 25 of 59

Synthesis of IF Statements (Cont.) Example: 17 (Class) Show how the IF statement below is synthesized. ENTITY latch 3 IS PORT( a, b, c, d, en: IN bit; q: OUT bit); END ENTITY latch 3; ARCHITECTURE behave 3 OF latch 3 IS SIGNAL n: bit; pl: PROCESS (a, b, c, d, en, n) IS VARIABLE m: bit; a b IF en = 1 THEN c m := a NAND b; d n <= c NAND d; q <= m NOR n; END IF; en END PROCESS pl; END ARCHITECTURE behave 3; No need to latch m since the variable is used after it is defined. d q clk qn m n d q clk qn q A latch is needed for n since its old value is used every process run. 26 of 59

Synthesis of IF Statements (Cont.) Example: 18 (Class) Show how the increment-by-one circuit below is synthesized. LIBRARY ieee; USE ieee.numeric std.all; ENTITY increment IS PORT( inc: IN bit; z: OUT unsigned (0 TO 1)); END ENTITY increment; ARCHITECTURE behav OF incr IS pi: PROCESS (inc) IS VARIABLE temp: unsigned (0 TO 1); IF inc = 1 THEN temp := temp + 1; END IF; z <= temp; END PROCESS pi; END ARCHITECTURE behav; inc d q clk qn d q clk qn z[0] z[1] 27 of 59

Synthesis of CASE Statements CASE statements synthesize to MUXs or elementary gates. A latch is inferred if some branches of CASE statement are unspecified. If NULL is used in any of the branches, a latch is inferred. In other words, a latch is inferred if a path through the code exists such that a particular variable or signal is not assigned a new value. The latch will hold the value of the signal. If we have a CASE statement and do not want a latch, then we must use OTHERS and must assign a value to the output in every branch. Examples: WHEN OTHERS => y := "0000 0000"; WHEN OTHERS => y := "- - - - - - - - "; 28 of 59

Synthesis of CASE Statements (Cont.) Example: 19 (Class) Show how the CASE statement below is synthesized. ENTITY mux IS PORT( a, b, c, d: IN bit vector (3 DOWNTO 0); s: IN bit vector (1 DOWNTO 0); x: OUT bit vector (3 DOWNTO 0)); END ENTITY mux; ARCHITECTURE rtl OF mux IS sl: PROCESS (a, b, c, d, s) IS CASE s IS WHEN "00" => x <= a; WHEN "01" => x <= b; WHEN "10" => x <= c; WHEN "11" => x <= d; END CASE; END PROCESS sl; END ARCHITECTURE rtl; a[3:0] b[3:0] c[3:0] d[3:0] 0 1 2 3 s[1:0] x[3:0] 29 of 59

Synthesis of CASE Statements (Cont.) Example: 20 (Class) Show how a 3-to-8 decoder with an enable is synthesized. inputs outputs En a2 a1 a0 y5 y4 y3 y2 y1 y0 0 x x x 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 1 1 0 0 1 0 0 0 0 1 0 1 0 1 0 0 0 0 1 0 0 1 0 1 1 0 0 1 0 0 0 1 1 0 0 0 1 0 0 0 0 1 1 0 1 1 0 0 0 0 0 30 of 59

Synthesis of CASE Statements (Cont.) Example 20: (Cont.) LIBRARY ieee; USE ieee.numeric std.all; ENTITY decoder IS PORT( a: IN integer RANGE 0 TO 7; en: IN bit; y: OUT unsigned (5 DOWNTO 0)); END ENTITY decoder; ARCHITECTURE design OF decoder IS pd: PROCESS (a, en) IS IF en = 0 THEN y <= o"00"; ELSE. 31 of 59

Synthesis of CASE Statements (Cont.) Example 20: (Cont.) en a[2:0] a[0]. a[2] CASE a IS WHEN 0 => y <= o"01"; WHEN 1 => y <= o"02"; WHEN 2 => y <= o"04"; WHEN 3 => y <= o"10"; WHEN 4= > y <= o"20"; WHEN 5 => y <= o"40"; WHEN OTHERS => y <= o"00"; END CASE; END IF; END PROCESS pd; END ARCHITECTURE design; a[1] y[0] y[1] y[2] y[3] y[4] y[5] y[5:0] 32 of 59

Synthesis of CASE Statements (Cont.) Example: 21 (Class) Show how this CASE statement is synthesized. PACKAGE collect IS TYPE state IS (s0, s1, s2, s3); END PACKAGE collect; USE WORK.collect.ALL; ENTITY state update IS PORT( current state: IN state; z: OUT integer RANGE 0 TO 3); END ENTITY state update; ARCHITECTURE update OF state update IS cs: PROCESS (current state) IS CASE current state IS WHEN s0 s3 => z <= 3; WHEN s1 => z <= 0; WHEN OTHERS => NULL; END CASE; END PROCESS cs; END ARCHITECTURE update; 33 of 59

Example 21: (Cont.) Synthesis of CASE Statements (Cont.) A latch is inferred since z is not assigned a value in the OTHERS statement even though z is defined in every other branch of CASE statement. current_state[0] VDD d q z[0] clk qn en current_state[1] VDD d q z[1] clk qn en 34 of 59

Synthesis of CASE Statements (Cont.) Example: 22 (Home) Show how the CASE statement below is synthesized. ENTITY latch case IS PORT (a: IN integer RANGE 0 TO 15; y: OUT integer RANGE 0 TO 4); END ENTITY latch case; ARCHITECTURE rtl OF latch case IS p1: PROCESS (a) CASE a IS WHEN 0 TO 3 5 => y <= 1; WHEN 6 TO 8 10 TO 13 => y <= 2; WHEN 4 9 => y <= 3; WHEN 15 => y <= 4; WHEN OTHERS => NULL; END CASE; END PROCESS p1; END ARCHITECTURE rtl; 35 of 59

Synthesis of CASE Statements (Cont.) Example: 23 (Home) Show how this CASE statement is synthesized. PACKAGE types IS TYPE primecolor IS (red, green, blue); END PACKAGE types; LIBRARY ieee; USE ieee.std logic 1164.ALL; USE WORK.types.ALL; ENTITY latch nested IS PORT( screencolor: IN primecolor; number: IN unsigned (1 DOWNTO 0); a: IN unsigned (3 DOWNTO 0) := "0000"; y : OUT unsigned (3 DOWNTO 0)); END ENTITY latch nested; ARCHITECTURE rtl OF latch nested IS. 36 of 59

Synthesis of CASE Statements (Cont.) Example 23: (Cont.). pc: PROCESS (screencolor, number, a) IS VARIABLE y var: unsigned (3 DOWNTO 0) := "0000"; CASE screencolor IS WHEN red => y var := a; WHEN green => y var := a + 1; WHEN blue => CASE number IS WHEN "00" => y var := a; WHEN "01" => y var := a + 1; WHEN "10" => y var := a + 2; WHEN OTHERS => NULL; END CASE; WHEN OTHERS => y var:= a + 1; END CASE; y <= y var; END PROCESS pc; END ARCHITECTURE rtl; Rule: Synthesis ignores default and initial values. 37 of 59

Inferring Flip-Flops A flip-flop is inferred in a process if the following conditions are all satisfied: A clock edge detection condition is used in IF or WAIT statements. The process sensitivity list includes the clock and nothing else except set and reset signals. No other statements should be written before or after the IF/WAIT statement in the process, except reset and set statements. Multiple IF statements will selectively infer flip-flops. Examples of using clock edge detection conditions: WAIT UNTIL clock = 1 AND clock event; WAIT UNTIL rising edge (clock); IF clock = 0 AND clock event THEN IF falling edge (clock) THEN 38 of 59

Inferring Flip-Flops (Cont.) Example: 24 (Class) Show how the following IF statement is synthesized. LIBRARY ieee; USE ieee.std logic 1164.ALL; ENTITY ff IS PORT( x, clk: IN std logic; s: OUT std logic); END ENTITY ff; ARCHITECTURE ff OF ff IS f: PROCESS (clk) IS IF rising edge (clk) THEN s <= NOT x; END IF; END PROCESS f; END ARCHITECTURE ff; x clk d q qn s 39 of 59

Synthesis of WAIT Statements Statements after WAIT execute synchronously with clock edge. Hence the WAIT statement is synthesized as an edge-triggered flip-flop. Synthesis tools allow only one WAIT statement in the whole process. It is not possible to model combinational logic in that process. On the other hand, IF statements allow defining combinational logic and inferred latches and flip-flops in the same process. Sequential logic is synthesized using a process statement with a special form: pw: PROCESS IS WAIT UNTIL clock condition; -- Synchronous logic described which is -- executed when clock level or edge occurs. END PROCESS pw; 40 of 59

Synthesis of WAIT Statements (Cont.) WAIT UNTIL is supported for synthesis under two conditions: 1. WAIT statement must be the first statement in the process. 2. The boolean condition in WAIT UNTIL statement must have one of the following forms to indicate falling or rising clock edge. Clock of type std logic. WAIT UNTIL clock = clock value; WAIT UNTIL clock = clock value AND clock event; WAIT UNTIL rising edge (clock); WAIT UNTIL falling edge (clock); The WAIT statement delays the execution of the whole process until its expression becomes true. This means that all other variable/signal assignment statements in the process will infer flip-flops. 41 of 59

Synthesis of WAIT Statements (Cont.) Example: 25 (Class) Show how a synchronized version of the code in Example 9 is synthesized. ENTITY sequence IS PORT( e, x, f, clk: IN bit; m: IN boolean; c: OUT bit); -- c uses a flip-flop with input select END ENTITY sequence; ARCHITECTURE test OF sequence IS SIGNAL r: bit; ps: PROCESS IS WAIT UNTIL rising edge (clk); r <= e OR x; e r IF m THEN x d q d1 c <= r AND f; d0 ELSE qn c <= f; sd END IF; f END PROCESS ps; m END ARCHITECTURE test; clk q qn c 42 of 59

Synthesis of WAIT Statements (Cont.) Example: 26 (Class) Show how this WAIT statement is synthesized. LIBRARY ieee; USE ieee.std logic 1164.ALL; ENTITY reg IS PORT( clk, en: IN std logic; data: IN std logic vector (0 TO 3); reg q: OUT std logic vector (0 To 3)); END ENTITY reg; ARCHITECTURE behav OF reg IS sy: PROCESS IS WAIT UNTIL falling edge (clk); IF en = 0 THEN reg q <= data; END IF END PROCESS sy; END ARCHITECTURE behav; 43 of 59

Synthesis of WAIT Statements (Cont.) Example 26: (Cont.) data[3] d q reg_a[3] clk qn data[2] d q reg_a[2] en qn data[1] d q reg_a[1] qn data[0] d q reg_a[0] qn 44 of 59

Synthesis of Asynchronous Set or Reset Example: 27 (Class) Show how asynchronous reset and set are synthesized. rs: PROCESS (clock, reset) IF reset = 1 THEN q <= 0 ; ELSIF rising edge (clock) THEN q <= d; END IF; END PROCESS rs;. st: PROCESS (clock, set) IF set = 1 THEN q <= 1 ; ELSIF rising edge (clock) THEN q <= d; END IF; END PROCESS st; d d clock reset set clock q qn q qn 45 of 59

Synthesis of Asynchronous Set or Reset (Cont.) Clocked logic with asynchronous reset or set is synthesized by testing the level of the asynchronous control signal before testing for the clock edge. In fact, the form of the process must be exactly as shown before. Both the clock and the asynchronous reset or set must be in the sensitivity list of the process with nothing else. The process must contain one IF statement, with no other statements before of after that IF statement. The IF statement must contain exactly one ELSIF part with no ELSE part. The first condition of the IF statement must test the level of the asynchronous set or reset and nothing else. 46 of 59

Synthesis of Asynchronous Set or Reset (Cont.) The statements in the first branch of the IF statement must contain assignments to reset or set all flip-flops inferred by the process. The second condition of the IF statement (the ELSIF part) must test for the clock edge and nothing else. The second branch of the IF statement may contain any number and combination of synthesizable sequential statements. The reset, set, and clock signals must not be used anywhere in the process except in the sensitivity list and IF conditions as described above. All flip-flops inferred from the second branch of the IF statement must be reset or set in the first branch, and all flip-flops reset or set in the first branch must be inferred in the second branch. 47 of 59

Synthesis of Procedures and Functions Synthesis tools require that procedures and functions: represent blocks of combinational logic, do not detect edges or contain WAIT statements. Out and inout parameters may be synthesized as registers if the procedure or function is called from a clocked process. Example: 28 (Home) Apply above VHDL rules on synthesizing procedures and functions on the code below. LIBRARY ieee; USE ieee.numeric std.all; ENTITY counter IS PORT( clock, reset, load: IN bit; start, stop: IN unsigned (3 DOWNTO 0); count: INOUT unsigned (3 DOWNTO 0)); END ENTITY counter; ARCHITECTURE rtl OF counter IS. 48 of 59

Synthesis of Procedures and Functions (Cont.) Example 28: (Cont.). PROCEDURE counting( SIGNAL load: bit; SIGNAL start, stop: unsigned; SIGNAL count: INOUT unsigned) IS IF load = 1 THEN count <= start; ELSIF count < stop THEN count <= count + 1; ELSE count <= (OTHERS => 0 ); END IF; END PROCEDURE count; pc: PROCESS (clock, reset) IS IF reset = 1 THEN count <= (OTHERS => 0 ); ELSIF clock = 1 and clock event THEN counting (load, start, stop, count); END IF; END PROCESS pc; END ARCHITECTURE rtl; 49 of 59

Synthesis of Finite-State Machines (FSM) Example: 29 (Class) Model the following FSM using a two-process architecture. Represent states using integers. (Hint: the shown FSM has Moore and Mealy outputs.) Show how the modelled FSM is synthesized. Assume that IF statements are synthesized using muxs. u/inc u 0 [c] d/dec 1 [c] d 50 of 59

Synthesis of Finite-State Machines (FSM) (Cont.) Example 29: (Cont.) LIBRARY ieee; USE ieee.std logic 1164.ALL; ENTITY source IS PORT( reset, u, d: IN bit; clk: IN std logic; inc, dec, c: OUT bit); END ENTITY source; ARCHITECTURE fsm OF source IS SIGNAL current state, next state: integer RANGE 0 TO 1; ps: PROCESS (clk, reset) IS IF reset = 1 THEN current state <= 0; ELSIF rising edge (clk) THEN current state <= next state; END IF; END PROCESS ps;. 51 of 59

Synthesis of Finite-State Machines (FSM) (Cont.) Example 29: (Cont.). ns: PROCESS (current state, u, d) IS CASE current state IS WHEN 0 => c <= 0 ; -- Moore output IF u = 1 THEN inc <= 1 ; dec <= 0 ; next state <= 1; ELSE inc <= 0 ; dec <= 0 ; next state <= 0; END IF; WHEN 1 => c <= 1 ; IF d = 1 THEN inc <= 0 ; dec <= 1 ; next state <= 0; ELSE inc <= 0 ; dec <= 0 ; next state <= 1; END IF; END CASE; END PROCESS ns; END ARCHITECTURE fsm; 52 of 59

Synthesis of Finite-State Machines (FSM) (Cont.) inc dec u d in0 in1 0 1 sel out d q c clk qn reset reset 53 of 59

Inferring Tristate Elements To create tristate logic we must use std logic type since it has Z as one of its possible values. We get tristate elements when the value Z is assigned to a target value. It is possible to combine inferring tristate gates with memory elements (flip-flops and latches). 54 of 59

Inferring Tristate Elements (Cont.) Example: 30 (Class) Show how the code below is synthesized. LIBRARY ieee; USE ieee.std logic 1164.ALL; ENTITY state3 IS PORT( en: IN std logic; d in: IN std logic vector (3 DOWNTO 0); d out: OUT std logic vector (3 DOWNTO 0)); END ENTITY state3; ARCHITECTURE rtl OF state3 IS p1: PROCESS (en, d in) IS IF en = 1 THEN d out (0) <= d in (0) XNOR d in (1); ELSE d out (0) <= Z ; END IF; CASE en IS WHEN 1 => d out (1) <= d in (2) NOR d in (3); WHEN OTHERS => d out (1) <= Z ; END CASE; END PROCESS p1;. 55 of 59

Inferring Tristate Elements (Cont.) Example 30: (Cont.). d out (2) <=d in (1) NAND d in (2) WHEN en = 1 ELSE Z ; WITH en SELECT d out (3) <=d in (3) WHEN 1, Z WHEN OTHERS; END ARCHITECTURE rtl; d_in[3:0] d_in(3) d_in(2) d_in(1) d_in(3) d_in(2) d_in(1) d_in(0) d_out(3) d_out(2) d_out(1) d_out(0) en d_out[3:0] 56 of 59

Inferring Tristate Elements (Cont.) Example: 31 (Home) Show how the code below is synthesized. LIBRARY ieee; USE ieee.std logic 1164.ALL; ENTITY prebus IS PORT( my in: IN std logic vector (7 DOWNTO 0); sel: IN std logic; my out: OUT std logic vector (7 DOWNTO 0)); END ENTITY prebus; ARCHITECTURE maxpld OF prebus IS my out <= my in WHEN sel = 1 ELSE (OTHERS => Z ); END ARCHITECTURE maxpld; 57 of 59

Inferring Tristate Elements (Cont.) Example: 32 (Class) Show how the code below is synthesized. LIBRARY ieee; USE ieee.std logic 1164.ALL; ENTITY trireg IS PORT( trien, clock, le, din: IN std logic; ffout, latchout: OUT std logic); END ENTITY trireg; ARCHITECTURE mixed OF trireg IS ff: PROCESS (trien, clock) IS IF trien = 0 THEN ffout <= Z ; ELSIF rising edge (clock) THEN ffout <= din; END IF; END PROCESS ff;. 58 of 59

Inferring Tristate Elements (Cont.) Example 32: (Cont.). latch: PROCESS (trien, le, din) IS variable temp: std logic; temp := NOT (trien) XNOR le; IF temp = 0 THEN din IF trien = 0 THEN latchout <= Z le ELSE trien latchout <= din; END IF; END IF; END PROCESS latch; END ARCHITECTURE mixed; 0' d q clk qn d d q clk qn q qn latchout clock d q qn ffout 59 of 59