Stochastics and the Phenomenon of Line-Edge Roughness

Similar documents
Preliminary Investigation of Shot Noise, Dose, and Focus Latitude for E-Beam Direct Write

Using the Normalized Image Log-Slope, part 5: Development

SuMMIT Application Notes:

Impact of mask line roughness in EUV lithography

2013 International Workshop on EUV Lithography Hanyang University

Optimization of Photolithography Process Using Simulation

Characterization of a Chemically Amplified Photoresist for Simulation using a Modified Poor Man s DRM Methodology

Challenges in Manufacturing of optical and EUV Photomasks Martin Sczyrba

Computational Lithography Turning Physics into Yield

Photoresist Qualification using Scatterometry CD

Frontiers in CD-SEM metrology

Deterministic microlens diffuser for Lambertian scatter

A New Fast Resist Model: the Gaussian LPM

Analytic Derivation and Minimization of Line Edge Roughness in Electron-beam Lithography. Rui Guo

Mask patterning challenges for EUV N7 and beyond. Date: 2018/02/27

Investigation of interactions between metrology and lithography with a CD SEM simulator

Reflectivity metrics for optimization of anti-reflection coatings on wafers with topography

LER Degradation vs. EUV Resist Thickness Report for Technical Working Group

Hybrid hotspot detection using regression model and lithography simulation

EUV Lithography and Overlay Control

The Death of the Aerial Image

WORCESTER POLYTECHNIC INSTITUTE

Modeling and Estimation of FPN Components in CMOS Image Sensors

CSPLAT for Photolithography Simulation

Image Restoration and Reconstruction

Advanced multi-patterning and hybrid lithography techniques. Fedor G Pikus, J. Andres Torres

Enhanced Lumped Parameter Model for Photolithography

EUV telecentricity and shadowing errors impact on process margins

Low k 1 Logic Design using Gridded Design Rules

New plasma processes for improved dimensional control and LWR for a 28nm gate patterning

Image Restoration and Reconstruction

Bringing Patterned Media to Production with Value Added Metrology

Modeling Custom Surface Roughness with LucidShape 2D Scatter Curve BSDF Material

Automated aerial image based CD metrology initiated by pattern marking with photomask layout data

Investigation of the foot-exposure impact in hyper-na immersion lithography when using thin anti-reflective coating

ABSTRACT. KEYWORDS: AIMS, Bossung plot, linewidth versus defocus, quartz height, EAPSM, repair verification, MeRiT, Litho simulation INTRODUCTION

Iterative procedure for in-situ EUV optical testing with an incoherent source

A New Method to Characterize Conformality of BARC Coatings Runhui Huang, Heping Wang, Anwei Qin Brewer Science, Inc., 2401 Brewer Dr.

Three-dimensional imaging of 30-nm nanospheres using immersion interferometric lithography

PRODUCT OVERVIEW. Rupert Perera President, EUV Tech

Overlay control methodology comparison: field-by-field and high-order methods

Model-Based MPC Enables Curvilinear ILT using Either VSB or Multi-Beam Mask Writers

Photoresist Modulation Curves

Redefining Critical in Critical Dimension Metrology

Digital Image Processing. Prof. P. K. Biswas. Department of Electronic & Electrical Communication Engineering

Generate Digital Elevation Models Using Laser Altimetry (LIDAR) Data

Coupling of surface roughness to the performance of computer-generated holograms

CHAPTER 3 IMAGE ENHANCEMENT IN THE SPATIAL DOMAIN

The impact of resist model on mask 3D simulation accuracy beyond. 40nm node memory patterns

Machine Learning in the Wild. Dealing with Messy Data. Rajmonda S. Caceres. SDS 293 Smith College October 30, 2017

Defect Repair for EUVL Mask Blanks

Lithography Process Control Using Scatterometry Metrology and Semi-Physical Modeling

LITHOGRAPHY CHALLENGES FOR LEADING EDGE 3D PACKAGING APPLICATIONS

Scanner Parameter Estimation Using Bilevel Scans of Star Charts

Image Processing Lecture 10

Motivation. Intensity Levels

Motivation. Gray Levels

C E N T E R A T H O U S T O N S C H O O L of H E A L T H I N F O R M A T I O N S C I E N C E S. Image Operations I

Lithography Simulation

Locating ego-centers in depth for hippocampal place cells

Optical Topography Measurement of Patterned Wafers

v2.4.0 Release Notes Release Notes Advancing the Standard in SEM Inspection 2018 GenISys GmbH 12-Nov-18 10:12

Path Tracing part 2. Steve Rotenberg CSE168: Rendering Algorithms UCSD, Spring 2017

specular diffuse reflection.

GENERAL AUTOMATED FLAW DETECTION SCHEME FOR NDE X-RAY IMAGES

Refined Measurement of Digital Image Texture Loss Peter D. Burns Burns Digital Imaging Fairport, NY USA

Practical approach to modeling e-beam lithographic process from SEM images for minimization of line edge roughness and critical dimension error

Digital Image Processing (CS/ECE 545) Lecture 5: Edge Detection (Part 2) & Corner Detection

Advanced Simulation Techniques for Thick Photoresist Lithography

OPC flare and optical modeling requirements for EUV

Effects Of Shadow On Canny Edge Detection through a camera

SCDI for EUV photomask metrology RESCAN - Reflective EUV Mask Scanning Lensless Imaging Tool

THE SAMPLING TAB. Pixel Samples. Ray Variance Antialiasing. H16 Mantra User Guide

CS 490: Computer Vision Image Segmentation: Thresholding. Fall 2015 Dr. Michael J. Reale

XI Signal-to-Noise (SNR)

EE795: Computer Vision and Intelligent Systems

Applications of DSA for lithography

Lecture 6: Edge Detection

UNIT - 5 IMAGE ENHANCEMENT IN SPATIAL DOMAIN

Two-Dimensional Simulation and Modeling in Scanning Electron Microscope Imaging and Metrology Research

Sampling Approaches to Metrology in Semiconductor Manufacturing

CS334: Digital Imaging and Multimedia Edges and Contours. Ahmed Elgammal Dept. of Computer Science Rutgers University

Transistor Flaring in Deep Submicron Design Considerations

Flatness Compensation Updates/Challenges

Reducing shot count through Optimization based fracture

Use of Shape Deformation to Seamlessly Stitch Historical Document Images

Fast Lithography Simulation under Focus Variations for OPC and Layout Optimizations

Lab 2 Report. Carlin Gettliffe

Wikipedia - Mysid

Lab 2 Report. Carlin Gettliffe

Image Processing

DENTAL WEAR SURFACE USING 3D PROFILOMETRY

Chapter 35. The Nature of Light and the Laws of Geometric Optics

Lecture 4a. CMOS Fabrication, Layout and Simulation. R. Saleh Dept. of ECE University of British Columbia

Stochastic Road Shape Estimation, B. Southall & C. Taylor. Review by: Christopher Rasmussen

Optical Lithography Modelling with MATLAB

Halftoning and quasi-monte Carlo

Impact of Intensity Edge Map on Segmentation of Noisy Range Images

Copyright 2002 by the Society of Photo-Optical Instrumentation Engineers.

Improving the 3D Scan Precision of Laser Triangulation

Transcription:

Stochastics and the Phenomenon of Line-Edge Roughness Chris Mack February 27, 2017 Tutorial talk at the SPIE Advanced Lithography Symposium, San Jose, California

What s so Hard about Roughness? Roughness is Hard to Measure SEM images have systematic and random errors The statistics of roughness is tricky Roughness is Hard to Understand Think with a random, correlated mindset Some physics is not well understood Roughness is Hard to Reduce Is there a better resist? Does smoothing work? What are the ultimate limits? 1

Randomness in Lithography Photon count PAG positions Absorption/acid generation Polymer chain length Blocking position Reaction-diffusion Dissolution Photon Absorption Ionization e - e - e - PAG Acid 2

The Importance of Correlations White noise: uncorrelated, each random event is independent Photon shot noise, absorption, chemical concentration, acid generation Produces a flat power spectral density (PSD) Correlating mechanisms: random events that are not independent Secondary electron generation, reaction-diffusion, development front propagation Lowers (smooths) the PSD on length scales below the correlation length (i.e., high frequency roughness) 3

What Gives the PSD its Shape? 1000 100 Uncorrelated white noise PSD (nm 3 ) 10 1 Correlation Length Acid diffusion 0.1 0.0001 0.001 0.01 0.1 1 Frequency (1/nm) 4

The Power Spectral Density PSD(0) Correlation Length x Slope roughness exponent H Variance = area under the curve (Derived from other three parameters) 5

Frequency of Roughness Are these edges different? 6

Frequency of Roughness Knowing the roughness standard deviation is not enough x = 10 Dx H = 0.5 x = 10 Dx H = 1.0 x = 100 Dx H = 0.5 The 3s roughness is the same for all of these x = 0.1 Dx H = 0.5 L = 512 Dx, s = fixed 7

Finite-Length Features Within-feature roughness s LWR L s LWR s CDU L LCDU: Feature-to-feature variation of mean CD L 8

Conservation of Roughness For all features of the same CD and pitch, for any length L, s 2 CDU L s 2 L s 2 LWR LWR Different line lengths partition the total roughness into within-feature and feature-to-feature variation PSD(0) s CDU 1 L x L 2 L 2 PSD(0) / 2 H s 1 x LWR 9

Conservation of Roughness We need to measure s( ), PSD(0), and x to understand roughness for device features 10

Measuring Roughness is Hard We need to determine the PSD parameters to understand how roughness impacts device features Measuring noise tends to be noisy SEM images contain both random and systematic errors that bias our results Random noise in the image produces white noise Systematic field variations (intensity, distortion) increase the apparent low-frequency roughness 11

SEM Images are Noisy Can you pick out the edges from this linescan? 12

SEM Images are Noisy Average Linescan = average of column of pixels 13

To Achieve Robust Edge Detection In general, we must apply averaging (e.g., a Gaussian filter) in X and sometimes Y to make our edge detection robust No Filter 7X2 Gaussian Filter Threshold Edge Detection Problem: Averaging to reduce SEM noise also smoothes away the roughness we are trying to see (the feature roughness) 14

Filtering Changes the Measured PSD No Filter 7X2 Gaussian Filter 15

A Better Way The Analytical Linescan Model (ALM) is a physics-based prediction of a linescan given a wafer feature Run in reverse, the ALM can be fit to an experimental linescan to estimate the edge positions We can achieve robust noise rejection and edge detection without any filtering We still must remove SEM errors after edge detection (random and systematic) Thursday, 2:00pm: Chris Mack & Ben Bunday, Analytical linescan model for SEM metrology 16

Removing SEM Errors SEM Random Image Noise Caused by electron shot noise (white noise) Resist shrinkage limits allowable electron dose Noise interacts with linescan edge slope to produce edge uncertainty Right and left edges have different linescan slopes due to scan effects 17

Before and After Noise Subtraction 18

Before and After Noise Subtraction 19

SEM Field Distortion Even sub-nanometer amounts of SEM field distortion can cause significant changes in the PSD Define distortion based on max error in the corner Trapezoid Distortion Pincushion Distortion 20

Result: Increase in Low-Frequency LER and PPR Wednesday, 8:20am Barton Lane, et al., Global minimization line-edge roughness analysis of top down SEM images 21

Background Intensity Variation Result: increase in low-frequency LWR, LER, and PPR 22

Randomness in Lithography Photon count PAG positions Absorption/acid generation Polymer chain length Blocking position Reaction-diffusion Dissolution Photon Absorption Ionization e - e - e - PAG Acid 23

What is the EUV Image? Here is a typical aerial image from an EUV scanner or is it? 18nm HP 24

What is the EUV Image? 25

How to Reduce Roughness Increase Photon Efficiency Reduce Resist Information Loss Magic 26

Increase Photon Efficiency We maximize the number of photons absorbed at the bottom of the resist when (reasonable goal: ) Due to pattern collapse, D max ~ Pitch min New resist scaling law: 27

Reduce Resist Information Loss You can t add information to the wafer from a bottle of photoresist (DSA aside) Resist can throw information away and add noise Preserve information from the absorbed image: High resist contrast, low resist blur (correlation length) Add very little resist noise: High concentrations (non-random positions) Large integration volume (correlation length) The optimum blur/integration/correlation length scales with feature size (called RLS trade-off) 28

Using Magic Magic resists are those that don t obey the laws of statistics The Applicable Laws of Statistics Increasing the number of independent events N reduces the relative noise as 1/ Increasing the number of correlated events does nothing No subsequent process step can ever decrease PSD(0) that existed from the previous step Two examples: Post-process smoothing and EUV resist acid amplifiers or quantum yield 29

The Fundamental Smoothing Constraint The zero-frequency PSD cannot be lowered by post-processing (including etch) PSD(0) = constant Why? This frequency component represents uncertainty in the mean CD of the feature To lower PSD(0), the smoothing process must increase the mean CD of too-narrow lines, and decrease the mean CD of too-wide lines, in order to reduce the variation of linewidth 30

What Can Smoothing Do? Post-Processing (including etch) should be characterized as changes in PSD model parameters (PSD(0), x, H) Increasing the correlation length is very effective at reducing within-feature variation Increasing the roughness exponent also works Since LCDU (feature-to-feature variation) is only a function of PSD(0), smoothing can t help Lowering PSD(0) is magic 31

Improving EUV Resists with Magic One proposal to reduce roughness without increasing exposure dose is to increase the quantum yield (number of acids per absorbed photon) above 1 But this does not work: all the acids generated from one absorbed photon are correlated! Two correlated acids behave statistically like one You can t amplify your way out of a signal to noise problem The optimum quantum yield is 1 32

Conclusions We can t improve roughness without first understanding stochastics better Be mindful of correlated statistics Build first-principle models We can t understand roughness better without first measuring it better It s not just about 3s, it s about PSD(0) and correlation length Roughness is hard, so let s work together 33

Thanks to Eric Panning and Ken Goldberg for taking a chance on me John Biafore for amazingly fruitful discussions My many coauthors at this year s Symposium 34

Thank You Fractilia, LLC Austin, Texas 512 887-3646 info@fractilia.com www.fractilia.com