TSV : impact on microelectronics European 3D TSV Summit MINATEC Campus Grenoble, January 22nd, 2013

Similar documents
3D technology evolution to smart interposer and high density 3D ICs

From 3D Toolbox to 3D Integration: Examples of Successful 3D Applicative Demonstrators N.Sillon. CEA. All rights reserved

3D TECHNOLOGIES: SOME PERSPECTIVES FOR MEMORY INTERCONNECT AND CONTROLLER

THERMAL EXPLORATION AND SIGN-OFF ANALYSIS FOR ADVANCED 3D INTEGRATION

Physical Design Implementation for 3D IC Methodology and Tools. Dave Noice Vassilios Gerousis

3D SYSTEM INTEGRATION TECHNOLOGY CHOICES AND CHALLENGE ERIC BEYNE, ANTONIO LA MANNA

Bringing 3D Integration to Packaging Mainstream

3D Integration & Packaging Challenges with through-silicon-vias (TSV)

Stacked Silicon Interconnect Technology (SSIT)

EECS 598: Integrating Emerging Technologies with Computer Architecture. Lecture 10: Three-Dimensional (3D) Integration

3D systems-on-chip. A clever partitioning of circuits to improve area, cost, power and performance. The 3D technology landscape

TechSearch International, Inc.

TechSearch International, Inc.

WLSI Extends Si Processing and Supports Moore s Law. Douglas Yu TSMC R&D,

Interconnect Challenges in a Many Core Compute Environment. Jerry Bautista, PhD Gen Mgr, New Business Initiatives Intel, Tech and Manuf Grp

Thermal Sign-Off Analysis for Advanced 3D IC Integration

Xilinx SSI Technology Concept to Silicon Development Overview

3D SoC and Heterogeneous Integrations

L évolution des architectures et des technologies d intégration des circuits intégrés dans les Data centers

Monolithic 3D Integration using Standard Fab & Standard Transistors. Zvi Or-Bach CEO MonolithIC 3D Inc.

3D INTEGRATION, A SMART WAY TO ENHANCE PERFORMANCE. Leti Devices Workshop December 3, 2017

TechSearch International, Inc.

Emerging IC Packaging Platforms for ICT Systems - MEPTEC, IMAPS and SEMI Bay Area Luncheon Presentation

Interposer Technology: Past, Now, and Future

Technology Platform Segmentation

Power dissipation! The VLSI Interconnect Challenge. Interconnect is the crux of the problem. Interconnect is the crux of the problem.

Photon-to-Photon CMOS Imager: Opto-Electronic 3D Integration

High Volume Manufacturing Supply Chain Ecosystem for 2.5D HBM2 ASIC SiPs

Addressable Test Chip Technology for IC Design and Manufacturing. Dr. David Ouyang CEO, Semitronix Corporation Professor, Zhejiang University 2014/03

VLSI IMPLEMENTATION OF L2 MEMORY DESIGN FOR 3-D INTEGRATION G.Sri Harsha* 1, S.Anjaneeyulu 2

IMEC CORE CMOS P. MARCHAL

Technology and Manufacturing

Non-destructive, High-resolution Fault Imaging for Package Failure Analysis. with 3D X-ray Microscopy. Application Note

Advanced Heterogeneous Solutions for System Integration

Material technology enhances the density and the productivity of the package

Soitec ultra-thin SOI substrates enabling FD-SOI technology. July, 2015

DEPARTMENT WAFER LEVEL SYSTEM INTEGRATION

Thermo Mechanical Modeling of TSVs

3D technology for Advanced Medical Devices Applications

DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group

Comparison & highlight on the last 3D TSV technologies trends Romain Fraux

3DIC & TSV interconnects business update

Advanced CSP & Turnkey Solutions. Fumio Ohyama Tera Probe, Inc.

Chapter 0 Introduction

Probing 25µm-diameter micro-bumps for Wide-I/O 3D SICs

NoC Round Table / ESA Sep Asynchronous Three Dimensional Networks on. on Chip. Abbas Sheibanyrad

3DIC & TSV interconnects

On GPU Bus Power Reduction with 3D IC Technologies

Advancing high performance heterogeneous integration through die stacking

3D Embedded Multi-core: Some Perspectives

SMAFTI Package Technology Features Wide-Band and Large-Capacity Memory

Moore s s Law, 40 years and Counting

Heterogeneous Integration and the Photonics Packaging Roadmap

Japanese two Samurai semiconductor ventures succeeded in near 3D-IC but failed the business, why? and what's left?

Five Emerging DRAM Interfaces You Should Know for Your Next Design

Packaging Technology for Image-Processing LSI

Multi-Die Packaging How Ready Are We?

3D Hetero-Integration Technology for Future Automotive Smart Vehicle System

Ultra-thin Capacitors for Enabling Miniaturized IoT Applications

ECE520 VLSI Design. Lecture 1: Introduction to VLSI Technology. Payman Zarkesh-Ha

OPTICAL TECHNOLOGIES FOR TSV INSPECTION Arun A. Aiyer, Frontier Semiconductor 2127 Ringwood Ave, San Jose, California 95131

The Evolution of Multi-Chip Packaging: from MCMs to 2.5/3D to Photonics. David McCann November 14, 2016

Thermal Modeling and Active Cooling

Wafer Level Packaging The Promise Evolves Dr. Thomas Di Stefano Centipede Systems, Inc. IWLPC 2008

Advanced Packaging For Mobile and Growth Products

3-D Package Integration Enabling Technologies

Challenges of Integration of Complex FHE Systems. Nancy Stoffel GE Global Research

Packaging of Selected Advanced Logic in 2x and 1x nodes. 1 I TechInsights

Beyond Chip Stacking---Quilt Packaging Enabled 3D Systems

Opportunities & Challenges: 28nm & 2.5/3-D IC Design and Manufacturing

Package level Interconnect Options

BREAKING THE MEMORY WALL

Introduction 1. GENERAL TRENDS. 1. The technology scale down DEEP SUBMICRON CMOS DESIGN

Embedded Power Dies for System-in-Package (SiP)

3D-IC is Now Real: Wide-IO is Driving 3D-IC TSV. Samta Bansal and Marc Greenberg, Cadence EDPS Monterey, CA April 5-6, 2012

Embedded UTCP interposers for miniature smart sensors

EMERGING NON VOLATILE MEMORY

edram to the Rescue Why edram 1/3 Area 1/5 Power SER 2-3 Fit/Mbit vs 2k-5k for SRAM Smaller is faster What s Next?

Introduction. SK hynix

Vertical Circuits. Small Footprint Stacked Die Package and HVM Supply Chain Readiness. November 10, Marc Robinson Vertical Circuits, Inc

PicoServer : Using 3D Stacking Technology To Enable A Compact Energy Efficient Chip Multiprocessor

TSV Test. Marc Loranger Director of Test Technologies Nov 11 th 2009, Seoul Korea

More Course Information

Thermal-Aware 3D IC Physical Design and Architecture Exploration

There is a paradigm shift in semiconductor industry towards 2.5D and 3D integration of heterogeneous parts to build complex systems.

Intel: Driving the Future of IT Technologies. Kevin C. Kahn Senior Fellow, Intel Labs Intel Corporation

Keynote Speaker. Matt Nowak Senior Director Advanced Technology Qualcomm CDMA Technologies

Gigascale Integration Design Challenges & Opportunities. Shekhar Borkar Circuit Research, Intel Labs October 24, 2004

VISUALIZING THE PACKAGING ROADMAP

International Journal of Applied Sciences, Engineering and Management ISSN , Vol. 04, No. 05, September 2015, pp

Quilt Packaging For Power Electronics

PSMC Roadmap For Integrated Photonics Manufacturing

2.5D interposer, 3DIC and TSV Interconnects Applications, market trends and supply chain evolutions

Technology & Manufacturing. Laurent Bosson Executive Vice President Front End Technology & Manufacturing

SoC Memory Interfaces. Today and tomorrow at TSMC 2013 TSMC, Ltd

Stacking Untested Wafers to Improve Yield. The 3D Enigma

Introduction. Summary. Why computer architecture? Technology trends Cost issues

Innovative 3D Structures Utilizing Wafer Level Fan-Out Technology

Industry Trends in 3D and Advanced Packaging

Power Matters. TM. Why Embedded Die? Piers Tremlett Microsemi 22/9/ Microsemi Corporation. Company Proprietary 1

Transcription:

TSV : impact on microelectronics European 3D TSV Summit MINATEC Campus Grenoble, January 22nd, 2013

Welcome in Grenoble Grenoble : 3D by Nature Pour modifier: Insertion / En Tête/Pied de page -Titre de la présentation Auteur 2

Welcome in MINATEC Campus a living example of heterogeneous integration Nanoscience Campus Campus European Photon&Neutron Science Campus Pour modifier: Insertion / En Tête/Pied de page -Titre de la présentation Auteur 3

Welcome in LETI Pour modifier: Insertion / En Tête/Pied de page -Titre de la présentation Auteur 4

Welcome in LETI Leti: Mission & Focus A unique mission : Innovate with Industry Over 1,900 patents in portfolio 1,300 staff members 300 PhD Students & post-docs 250 industrial assignees 5

LETI : a complete toolset for 3D CMOS 300 + 3D 300 CMOS 200 mm MEMS & 3D 200 mm Fully operationnal 300mm line dedicated to 3D inaugurated in 2011, January Nanoscale Characterization

TSV applications Where do westand? What challenges & perspectives for digital applications Pour modifier: Insertion / En Tête/Pied de page -Titre de la présentation Auteur 7

A whole set of applications Yole Development, 2011

Where do we stand In Production In Introduction In Development CIS (imagers) Interposers Power Sensors Passives Stacked memories LEDs Logic Pour modifier: Insertion / En Tête/Pied de page -Titre de la présentation Auteur 9

3D Industrialization status Memory stack Memory Stack Samsung HMC cube Under industrialization Large players : Hynix; members of HMC consortium (Samsung Micron IBM Altera..); Ziptronix Logic on Logic Logic with TSV Interposer Logic with TSV Development near to production, mainly depending on market Many known technology players ST Renesas TI (IBM/Amkor) GF (Amkor) Samsung & fabless Qualcomm STE IBM ST/STE Imagers In production for Conventional Back Side Illuminated Imagers (BSI) Some recent announces from Sony for active BSI Interposer Passive: in production for TSMC (CoWos with Xilinx, Altera), Advanced level of R&D for Shinko Active: Qualcomm(& other fabless) Photonics: IBM, Alcatel-Lucent Logic on Logic Coarse partitionning: Prototyping and Development Fine partitionning: Pushed by academics Some fabless interested (Qualcomm) Xilinx Qualcomm 10

Interposers An important sector : As a market As a first introduction of digital «non-2d» architectures As a first return on invests With markets of various size to serve Pour modifier: Insertion / En Tête/Pied de page -Titre de la présentation Auteur 11

Silicon Interposer Technology Interposer size: 26x26mm² (warp management required) TSV: 10x100µm Cu damascene routing : Metal1-Via1-Metal2, 0.5µm line/0.5µm space Micro copper pillars: Pitch 50µm, 100000/interposer TSV exposure RDL and passivation: 10µm Line / Space, one level Large copper pillar: pitch 500µm, height 70µm cumulative Micro Cu pillar Damascene TSV RDL and Passivation Large Cu Pillar Joined Lab J. Charbonnier et al., ESTC 2012

Interposers are not just wires Pour modifier: Insertion / En Tête/Pied de page -Titre de la présentation Auteur 13

Towards smart interposers : More than Wires Thermal Interposer Computing Power Lighting Application processor Active Interposer Power management High Voltage External I/O (Mature node) Passives Interposer Smart interposer Photonic Interposer RF Platform for Baseband Lighting Application Processor (decoupling capacitance) Health: Implantable electronics Servers Data centers Computing

Active Interposer Concept Heterogeneous integration rationale: Small dies shorten new process introduction and improve overall yield Analog design and IOs doesn t shrink a lot with process technology Short interconnect improves signal and power integrity SoCpartitioning into several dies, with different technology nodes Processing layer: High performance multicore processors Multi-core SoC SDRAM Memory layer: High bandwidth, Wide data interface Active Interposer: Analog, interconnect, memory control and I/O peripherals Multi-core SoC Multi-core SoC On LETI roadmap for 2015

Digital applications A generic trend and expectation : Power Efficiency MID Segment PC, Video, Gaming Servers Pour modifier: Insertion / En Tête/Pied de page -Titre de la présentation Auteur 16

A global approach to energy efficiency in nanoelectronics Multi-core HW/SW architectures Local & dynamical trade-offs New transistors (FinFET vs Planar/SOI) Integrated photonics Intrinsicpower consumption µ-proc to mem access Speed I/O 3D integration& architectures

3D IC evolution Si interposer 2,5D 3D Wide IO Memory Logic-on-logic (Advanced on Mature) Logic-on-analog Large grain 3D partitionning ( 50µm pitch) Active interposer Modular and Stackable logic (3D Network-On-Chip)

Face-to-Back integration WideIO Matrix TSV SoC Front Side Bumps WideIO Memory SoC In collaboration with 1,0 Cumulated percentage pourcentage Cumulated percentage cumulé 200mm & 300mm processes & characterization for face to back flow chart for Wide I/O, S. Chéramy & Al, 8th International Conference and Exhibition on Device Packaging (2012) 0,8 0,6 0,4 0,2 0,0 2,4 2,6 2,8 3,0 3,2 3,4 3,6 R 50 TSV (Ω) P01 P02 P03 P04

Face-to-Face Integration for logic/analog partitioning (65nm technology stacked on 65nm) BGA TSV BEOL Top / bottom dies connection Cu TSV Cu TSV Bottom die / BGA connection Cu RDL

3D IC evolution Si interposer 2,5D 3D Wide IO Memory Logic-on-logic (Advanced on Mature) Logic-on-analog Large grain 3D partitionning ( 50µm pitch) Active interposer Modular and Stackable logic (3D Network-On-Chip)

Logic-on-logic : 3D Asynchronous NoC Easily stackable logic tiles A set of tiles will give you the performance for your application Increase number of applications for a single die, reach required volume production Constraints? High bandwidth between dies, Easy staking, no clock distribution issues Power distribution, Testability, Fault Tolerance Proposal : 3D Asynchronous NoC Fast serial link Full asynchronous logic Demonstration in 2014 NoC Serial Links 2D NoC Router 3D NoC Router Processing Unit

3D IC evolution Si interposer 2,5D 3D Wide IO Memory Logic-on-logic (Advanced on Mature) Logic-on-analog Large grain 3D partitionning ( 50µm pitch) Active interposer Modular and Stackable logic (3D Network-On-Chip) 3D Cache memory on manycore processor Fine grain 3D partitionning ( 10µm pitch)

3D Cache Memory on manycore Non-uniform Memory Architecture (NUMA) : splitting uniform cache into multiple banks interconnected with a NOC 3D stacking adding flexibility, high capacity and a gain on power consumption High bandwidth and fault tolerance 1Oµm TSV pitch required >10000 TSVs per chip Eric Guthmuller et al., Adaptive Stackable 3D Cache Architecture for Manycores, ISVLSI2012

TSV shrink for cost reduction Surface Cost TSV surface + Keep away zone (KAZ) Active + M1-Mx layers Number of TSVs (today 1000 for Wide IO memory) Si KAZ TSV diameter 6 Surface occupied by x TSV [mm²] 5 1000 4 2000 TSV Surface [mm²] 3 2 1 5000 10000 Si 0 0 2 4 6 8 10 TSV diameter [µm] 26

Low diameter TSV 15µm 15µm 3µm M1 Reducing Si thickness : 15µm High Density TSV s demosntrated in 65nm MOSFETS M5 Investigation on TSV impact on 65nm CMOS devices and circuits H. Chaabouni, M. Rousseau, P. Leduc et al., IEDM 2010

Cu direct bonding Full characterization of Cu/Cu direct bonding for 3D integration, Rachid Taibi, Léa Di Ciocciob et al., ECTC2010 >90% yield of daisy Chain with 30000 3x3µm² Cu contacts Contact resistance : 2,5mΩ Contact chain SEM cross section Optical top view Acoustic image of bonding 0.5 µm thick line standard deviation σ ~ 1.2% Resistance (Ω)

A global approach to energy efficiency in nanoelectronics Multi-core HW/SW architectures Local & dynamical trade-offs New transistors (FinFET vs Planar/SOI) Integrated photonics Intrinsicpower consumption µ-proc to mem access Speed I/O 3D integration& architectures

Design challenges : collaborative definition of flow with EDA partners Yesterday: Survivor kit - manual implementation of TSV - Manual partitioning with 2D tools 3D Stack definition - Multiple techno nodes - Die partitioning - Architecture exploration - Simultaneous floorplan and TSV location exploration Optimized design and modeling Multiple partnerships to prepare 3D design flow 3D Stack/Package analysis & optimization: - Early floorplan & TSV Placement - 3D Thermal Profile -3D Test 3D Implementation - 3D Floorplan - 3D Power planning - 2D Place & CTS & Route - 3D analysis (power/timing) - 3D Verification

Impact on advanced devices Thermal studies Possibility of measureafterback end 3D assembly & packaging Deep understanding of impact on CMOS BEOL top die 20% TSV Radius in <110> Rcupi+RCupB 15% complete design rules for design kits Lcupi+LCupB 10% amincissement RTSV Vsub 5% IFoucauts Stress impact Determination of the min distance TSV -MOS Electrical impact Interconnects modelization & density impact Mobility variation (%) LTSV 0% Mode de conduction -5% M -10% -15% -20% M Cox Cdep Vsub BEOL bottom die Csi Gsi CM1-Sub - - - - - - Cu stress Longitudinal NMOS Cbeol-Sub Transverse NMOS + + + + + Longitudinal PMOS Transverse PMOS 0 1 2 3 4 5 6 7 8 9 10 Distance from TSV (µm) MOS

Thermal challenges Long distance heat dissipation Local heatdissipation (hot spot removal) Thermal heat spreader (carbone graphite, Cu, AlN Dynamic temperature smoothing Heat spreader Phase change materials Temperature cold Thermal model and design Rules Time 2013 2014 2016 2017

Conclusions TSV and 3D have (and will have) a global impact Applications in Digital require a global approach Leti develops 3D globally: A generic toolbox available (technology & design) Capability to transform 3D concepts into silicon demonstrators A roadmap of developments and demonstrators Generic R&D run in open innovation model Specific developments and prototyping through proprietary cooperation

Thank you for your attention and questions