DESIGN AND IMPLEMENTATION OF 8X8 DRAM MEMORY ARRAY USING 45nm TECHNOLOGY

Similar documents
8Kb Logic Compatible DRAM based Memory Design for Low Power Systems

Implementation of DRAM Cell Using Transmission Gate

Module 6 : Semiconductor Memories Lecture 30 : SRAM and DRAM Peripherals

Characteristics of Gated Diode Based DRAM under Low Voltage

A Single Ended SRAM cell with reduced Average Power and Delay

Very Large Scale Integration (VLSI)

Analysis of 8T SRAM with Read and Write Assist Schemes (UDVS) In 45nm CMOS Technology

Design of Read and Write Operations for 6t Sram Cell

Design and Simulation of Low Power 6TSRAM and Control its Leakage Current Using Sleepy Keeper Approach in different Topology

MEMORIES. Memories. EEC 116, B. Baas 3

STUDY OF SRAM AND ITS LOW POWER TECHNIQUES

Design of 6-T SRAM Cell for enhanced read/write margin

Low Power SRAM Design with Reduced Read/Write Time

Dual Port SRAM. Research Article. Rajeshwari Mathapati a*, Geetanjali Kamble a and S.K.Shirakol a

6T- SRAM for Low Power Consumption. Professor, Dept. of ExTC, PRMIT &R, Badnera, Amravati, Maharashtra, India 1

LOW POWER SRAM CELL WITH IMPROVED RESPONSE

A Review Paper on Reconfigurable Techniques to Improve Critical Parameters of SRAM

Introduction to Semiconductor Memory Dr. Lynn Fuller Webpage:

Column decoder using PTL for memory

! Memory Overview. ! ROM Memories. ! RAM Memory " SRAM " DRAM. ! This is done because we can build. " large, slow memories OR

High Performance Memory Read Using Cross-Coupled Pull-up Circuitry

Semiconductor Memory Classification. Today. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. CPU Memory Hierarchy.

Memory Design I. Array-Structured Memory Architecture. Professor Chris H. Kim. Dept. of ECE.

International Journal of Advance Engineering and Research Development LOW POWER AND HIGH PERFORMANCE MSML DESIGN FOR CAM USE OF MODIFIED XNOR CELL

A Comparative Study of Power Efficient SRAM Designs

POWER EFFICIENT SRAM CELL USING T-NBLV TECHNIQUE

Analysis of Power Dissipation and Delay in 6T and 8T SRAM Using Tanner Tool

FeRAM Circuit Technology for System on a Chip

Improved Initial Overdrive Sense-Amplifier. For Low-Voltage DRAMS. Analog CMOS IC Design. Esayas Naizghi April 30, 2004

Power Reduction Techniques in the Memory System. Typical Memory Hierarchy

Design of Low Power SRAM in 45 nm CMOS Technology

A Low Power SRAM Base on Novel Word-Line Decoding

Performance Analysis and Designing 16 Bit Sram Memory Chip Using XILINX Tool

Simulation and Analysis of SRAM Cell Structures at 90nm Technology

Memory Design I. Semiconductor Memory Classification. Read-Write Memories (RWM) Memory Scaling Trend. Memory Scaling Trend

Design and Implementation of 8K-bits Low Power SRAM in 180nm Technology

International Journal of Scientific & Engineering Research, Volume 5, Issue 2, February ISSN

Magnetic core memory (1951) cm 2 ( bit)

AN ANALYTICAL APPROACH TO DESIGN VLSI IMPLEMENTATION OF LOW POWER, HIGH SPEED SRAM CELL USING SUB-MICRON TECHNOLOGY

Logic and Computer Design Fundamentals. Chapter 8 Memory Basics

Design of Low Power Wide Gates used in Register File and Tag Comparator

250nm Technology Based Low Power SRAM Memory

A Low Power 32 Bit CMOS ROM Using a Novel ATD Circuit

COMPARITIVE ANALYSIS OF SRAM CELL TOPOLOGIES AT 65nm TECHNOLOGY

Concept of Memory. The memory of computer is broadly categories into two categories:

Design and Implementation of Low Leakage Power SRAM System Using Full Stack Asymmetric SRAM

International Journal of Advanced Research in Electrical, Electronics and Instrumentation Engineering

DRAM with Boosted 3T Gain Cell, PVT-tracking Read Reference Bias

Sense Amplifiers 6 T Cell. M PC is the precharge transistor whose purpose is to force the latch to operate at the unstable point.

ECSE-2610 Computer Components & Operations (COCO)

Low Power and Improved Read Stability Cache Design in 45nm Technology

Low Power Circuits using Modified Gate Diffusion Input (GDI)

CMOS Logic Circuit Design Link( リンク ): センター教官講義ノートの下 CMOS 論理回路設計

Internal Memory. Computer Architecture. Outline. Memory Hierarchy. Semiconductor Memory Types. Copyright 2000 N. AYDIN. All rights reserved.

Integrated Circuits & Systems

Digital Electronics. CHAPTER THIRTY TWO. Semiconductor Read-Only Memories

SRAM Memory Layout Design in 180nm Technology

Design and Implementation of an AHB SRAM Memory Controller

Design and verification of low power SRAM system: Backend approach

Organization. 5.1 Semiconductor Main Memory. William Stallings Computer Organization and Architecture 6th Edition

Address connections Data connections Selection connections

A Write-Back-Free 2T1D Embedded. a Dual-Row-Access Low Power Mode.

William Stallings Computer Organization and Architecture 6th Edition. Chapter 5 Internal Memory

+1 (479)

CS250 VLSI Systems Design Lecture 9: Memory

A Single Poly Flash Memory Intellectual Property for Low-Cost, Low-Density Embedded Nonvolatile Memory Applications

Optimized CAM Design

Low Power using Match-Line Sensing in Content Addressable Memory S. Nachimuthu, S. Ramesh 1 Department of Electrical and Electronics Engineering,

International Journal of Emerging Technologies in Computational and Applied Sciences (IJETCAS)

Analysis of 8T SRAM Cell Using Leakage Reduction Technique

Unleashing the Power of Embedded DRAM

CMPEN 411 VLSI Digital Circuits Spring Lecture 22: Memery, ROM

Prototype of SRAM by Sergey Kononov, et al.

COMP3221: Microprocessors and. and Embedded Systems. Overview. Lecture 23: Memory Systems (I)

Semiconductor Memory Classification

Unit 7: Memory. Dynamic shift register: Circuit diagram: Refer to unit 4(ch 6.5.4)

Chapter 5 Internal Memory

CS 265. Computer Architecture. Wei Lu, Ph.D., P.Eng.

Survey on Stability of Low Power SRAM Bit Cells

UNIT V (PROGRAMMABLE LOGIC DEVICES)

Chapter 8 Memory Basics

Design and Characterization of an Embedded ASIC DRAM

Reducing DRAM Latency at Low Cost by Exploiting Heterogeneity. Donghyuk Lee Carnegie Mellon University

ISSN: [Bilani* et al.,7(2): February, 2018] Impact Factor: 5.164

Analysis and Design of Low Voltage Low Noise LVDS Receiver

Memory & Logic Array. Lecture # 23 & 24 By : Ali Mustafa

Architecture of An AHB Compliant SDRAM Memory Controller

Design and Analysis of 32 bit SRAM architecture in 90nm CMOS Technology

ENGIN 112 Intro to Electrical and Computer Engineering

Z-RAM Ultra-Dense Memory for 90nm and Below. Hot Chips David E. Fisch, Anant Singh, Greg Popov Innovative Silicon Inc.

REPLACING 6T SRAMS WITH 3T1D DRAMS IN THE L1 DATA CACHE TO COMBAT PROCESS VARIABILITY

Memory in Digital Systems

Lorem ipsum dolor sit amet, consectetur adipiscing elit. Increasing dependence of the functionality

CENG 4480 L09 Memory 2

International Journal of Scientific & Engineering Research, Volume 6, Issue 2, February-2015 ISSN

Low-Power SRAM and ROM Memories

Comparative Analysis of Low Leakage SRAM Cell at 32nm Technology

POWER AND AREA EFFICIENT 10T SRAM WITH IMPROVED READ STABILITY

HOMEWORK 7 CMPEN 411 Due: 3/22/ :30pm

Improving Memory Repair by Selective Row Partitioning

Transcription:

DESIGN AND IMPLEMENTATION OF 8X8 DRAM MEMORY ARRAY USING 45nm TECHNOLOGY S.Raju 1, K.Jeevan Reddy 2 (Associate Professor) Digital Systems & Computer Electronics (DSCE), Sreenidhi Institute of Science & Technology, Hyderabad, (India) ABSTRACT Process variation like threshold voltage variation, gate length variation will greatly impact the scalability, reliability, power consumption and performance of future microprocessors. All these variations are harmful to 6T SRAM structures and will become critical with continued technology scaling. A memory architecture using 3T1D DRAM cells in the L1 data cache tolerates wide process variations with little performance degradation, making it promising choice for on-chip cache structures for next generation microprocessors. The proposed memory array is designed using 3T1D DRAM cells in 45nm technology. The average power consumption, write access time, read access time and retention time of 3T1D DRAM cell have been analyzed. In this paper an effort is made to design 8x8 DRAM memory array. The 8x8 DRAM memory has been designed, implemented & analyzed in gpdk045 technology library using cadence tool. Keywords: 3T1D DRAM Cell, Access Times, Power Consumption, DRAM, Cadence, gpdk045. I. INTRODUCTION Memories are the circuits that store digital information in large quantities. Semiconductor memories are classified on the basis of functionality, nature of storage mechanism and their access patterns. For data storage and data transmission soc designs require a great amount of embedded memory devices. Two types of memories used in the modern day architecture are Read only memory (ROM) and Random access memory (RAM). Random access memories are two types namely SRAM and DRAM.SRAM is static in nature-it is a non volatile memory. SRAM is faster compared to DRAM. 70% of the chip area in soc is occupied by SRAM memory because SRAM memory cell requires 6 transistors to store a single bit of data. These are mostly used as L1-data cache memories.dram stores data in a capacitor in the form of charge. Capacitor leaks charge over time, the information eventually fades unless the capacitor charge is refreshed periodically. Because of this refresh requirement it is a dynamic memory. They require less number of transistors to store a single bit of data. These are mostly, used as main memories. The process variation deviates the size of the transistor from the specified size causing device mismatches. Mismatches reduce the reliability. Process variation limits 6T SRAM performance scalability by causing variation in the operating speed of individual cell and memory lines. An alternative design that can replace 6T cell is that of the 3T1D DRAM cell, which promises operating speeds comparable to that of SRAM without the destructive reads of the standard 1T DRAM [1]. Cadence tool is used to design DRAM. The technology file attached is gpdk045. DRAM array is constructed using the basic 3T1D DRAM cell. The paper aims to propose 922 P a g e

the design for 8bytes (64 bits) memory using schematic editor virtuoso. Peripheral circuits like pre-charge circuit, write and read control circuit, sense amplifier, column and row decoders are to be designed and implemented. The project aims to implement the memory array and perform successful write and read operations. II. BACKGROUND The paper on capacitor less dram cell design for high performance embedded system discuss about the memory cell and its performance [2]. The increasing demand for large data storage has driven the fabrication technology and memory development toward more complex design rules. The amount of memory required for system depends on the type of application. The memory capacity has reached 1 GB. The trend towards higher storage capacity will continue to push the leading edge of digital system design. Section 3 discuss about the DRAM architecture, section 4 about its implementation. Section 5, 6 describes the experimental results and conclusion respectively. III. DRAM ARCHITECTURE Fig 3.1 Typical DRAM Block Diagram DRAMs can be organized as bit oriented or word oriented fashion. In bit orientation each address accesses a single bit. In word orientation each address accesses a word of n bits. A DRAM cell must be designed in such a way that it provides a non-destructive read operation and a reliable write operation. the main building blocks of DRAM memory array are as follows 1. DRAM cell 2. Pre-charge circuit 3. Read and write control circuit 4. Sense amplifier 5. Row and column decoder. 923 P a g e

IV. DESIGN AND IMPLEMENTATION 4.1 The DRAM Cell 3T1D DRAM uses a gated diode instead of a capacitor to store the data value. The gated diode acts as a storage node, a voltage controlled capacitor and an amplifier for the cell voltage [3]. The absence of capacitor reduces the power consumption as compared to the other DRAM cell designs. Fig 4.1 3T1D Memory Cell The above fig sows the memory cell. The gated diode is connected to a read bit line BLW via a write device (w g ) forming a write path. The read select device (r s ) and read device (r g ) are connected in series between the read bit line (BLR) and ground forming a write path. Write word line (wlw) select the cell for writing and read word line (wlr) select the cell for reading. 0 V for 0-data and VDD-V TH for 1 data is written into the storage node from bit line write via w g, by raising wlw high and holding wlr low. In order to read the cell the read bit line BLR has to be pre-charged at V DD level. For read wlr is pulsed from low to high. For read 1 the gated diode capacitance is large as the channel is on, the storage node voltage is boosted high and turns r g on. For read 0, Cgs is small as the channel is off and V c is almost at ground and rg remains off. During read bit line read drops towards ground via rs and rg for 1, and holds at V dd for 0-data and the difference is detected by a gated diode sense amplifier. At the end of the read wlr returns to ground. 4.2. The Pre-Charge Circuit Pre-charge circuit is one of the essential components in the DRAM memory array for non-destructive read operation. Fig 4.2 Pre-Charge Circuit 924 P a g e

The primary function of the pre-charge circuit is to charge the bit and bit bar lines to Vdd. Bit and bit bar lines are charged to Vdd before every write and read operation. The width required for PMOS is min 120 nm and length is fixed to 45 nm. For each column one pre-charge circuit is used. 4.3. Write and Read Control Circuit Fig 4.3 Write and Read Control Circuit The column is selected prior to the write and read control signals are enabled. Data input is passed on to the write bit line by enabling the write signal. Output data is collected from the read bit line after enabling the read signal. 4.4. Sense Amplifier Sense amplifier is an important component in the memory array design. Here we are using voltage based sense amplifier circuit. It amplifies the small differential voltage. Fig 4.4 Sense Amplifier Circuit The primary function of the sense amplifier is to amplify a small differential voltage developed on the bit lines to full swing digital signal thus reducing the time required for a read operation. 925 P a g e

4.5. Row Decoder and Column Decode Fig 4.5 Row and Column Decoder Circuit The schematic shows AND gate based 3 to 8 decoder with one enable input. The function of the row decoder is to select a particular row or word line depending on the inputs A, B, C and E(enable). The output of the row decoder acts as a word line to each row. For example consider A=B=C=E= 1 then 8 th word line will be selected and data will be written in to that selected cell. Column decoder is used to select a particular column for write and read operation. Simulation of 3T1D cell is carried out for 0-200ns. During this interval write 1,read 1,write 0,and read 0 are executed, average power consumption is calculated and listed below. Fig1.Simulation Waveform of the 3T1D Memory Cell Schematic of 8x8 DRAM memory is shown below. 926 P a g e

The 8x8 memory array is capable of storing 64 bits. it consists of 64 bit cells,8 sense amplifiers, and 8 precharge circuits. Here first we pre-charge the bit lines to VDD before each write and read. Before reading the data sense enable signal goes high to 1 this will sense the difference between two bit lines and then gives the output. If we have written 1 into the memory cell, we will read it as 0 at the output. Fig2.Simulation Waveform of the 8x8 Memory Array 927 P a g e

Table 1: Average Power Consumption of the 8x8 Memory Array. SUPPLY AVERAGE POWER VOLTAGE(V) CONSUMPTION OF 8X8 MEMORY ARRAY (u Watt) 0.7 8.851 0.8 13.29 0.9 36.06 1 44.86 1.1 50.33 V. PERFORMANCE ANALYSIS Average power consumption of single 3T1D memory cell and 8x8 memory array are carried out. The average power consumption values are calculated with varying the supply voltage from 1.1V to 0.7V. read access time, write access time and retention time of 3T1D memory cell are also calculated with varying supply voltage from 1V to 0.7V. Table 2 Average Power Consumption of the 3T1D Memory Cell AVERAGE POWER CONSUMPTION OF 3T1D SUPPLY MEMORY CELL VOLTAGE (volt) (n watt) 0.7 138.6 0.8 166.6 1 228.3 1.1 262.4 928 P a g e

Table 3. Read Access Time with Supply Voltage \ SUPPLY VOLTAGE (volt) READ ACCESS TIME OF 3T1D CELL (p secs) 0.7 212.4 0.8 195.3 0.9 182.1 1 178.7 Table 4.Write Access Time with Supply Voltage SUPPLY VOLTAGE(V) WRITE ACCESS TIME OF 3T1D CELL(P sec) 0.7 42.3 0.8 44.8 0.9 45.6 1 47.7 929 P a g e

VI. CONCLUSION Analysis of 3T1D memory cell for average power consumption, read access time, write access time and retention time is carried out by varying supply voltage from 0.7V to 1.1V. The schematic is designed to store 64 bits i.e. 8x8 memory array. The array includes memory bit cell, pre-charge circuit, write read control circuit and sense amplifier are designed and integrated. This integrated array is capable of storing 64 bits. This proposed array consumes 50.33uW power to write and read 1&0 at 1.1V power supply and gradually decreases with decreasing power supply. The dram array is designed and implemented in standard gpdk045nm technology using cadence tool for schematic. REFERENCES [1]. [1].Yogesh N. Thakre, Shubhada S. Thakre, Devendra S. Chaudhari An effect of process variation on 3T1D DRAM in International Journal of Scientific & Engineering Research, Volume 4, Issue 7, July-2013 ISSN 2229-5518 [2]. [2].Prateek Asthana and Sangeeta Mangesh Capacitor less DRAM cell design for high performance embedded design in international conference on advances in computing, communications and informatics (ICACCI)978-1-4799-3080-7/14/$31.00_c 2014 IEEE. [3]. [3] W. Luk, J. Cai, R. Dennard, M. Immediato, and S. Kosonocky, A 3- transistor dram cell with gated diode for enhanced speed and retention time, in VLSI Circuits, 2006. Digest of Technical Papers. 2006 Symposium on, 2006, [4]. 184 185. [5]. S. G. R. C. Nivard Asymerich, Impact of positive bias temperature instability (pbti) on 3t1d-dram cells. in VLSI Journal, vol. 45. Elsevier, 2011, pp. 246 252. [6]. K. Lovin, B. Lee, X. Liang, D. Brooks, and G.-Y. Wei, Empirical performance models for 3t1d memories, in Computer Design,2009. ICCD 2009. IEEE International Conference on, Oct 2009, pp. 398 403. [7]. E. Amat, Strategies to enhance 3t1d dram cell variability robustness, pp. 1 6, 2013. [8]. Arpit Yadav, Prof. Aruna Tete design of process variation 3T1D based DRAM using cadence in International Journal of Emerging Technology and Advanced Engineering ISSN 2250-2459, Volume 2, Issue 6, June 2012. 930 P a g e