Short Course On Phase-Locked Loops and Their Applications Day 3, PM Lecture. Behavioral Simulation Exercises

Similar documents
Fast and Accurate System Level Simulation of Time-Based Circuits Using CppSim and VppSim

VCS AMS. Mixed-Signal Verification Solution. Overview. testing with transistor-level accuracy. Introduction. Performance. Multicore Technology

Harmony-AMS Analog/Mixed-Signal Simulator

Connecting MATLAB & Simulink with your SystemVerilog Workflow for Functional Verification

THE DESIGNER'S GUIDE TO VERILOG-AMS First Edition June 2004

Parag Choudhary Engineering Architect

ASIC world. Start Specification Design Verification Layout Validation Finish

Digital System Design Lecture 2: Design. Amir Masoud Gharehbaghi

AMS Behavioral Modeling

101-1 Under-Graduate Project Digital IC Design Flow

Mixed-signal Modeling Using Simulink based-c

Analog Verification. Ken Kundert. Copyright 2009, Designerʹs Guide Consulting, Inc. All Rights Reserved

A mixed signal verification platform to verify I/O designs

Cell-Based Design Flow. TA : 吳廸優

Overview of Digital Design with Verilog HDL 1

SmartSpice Verilog-A Interface. Behavioral and Structural Modeling Tool - Device Model Development

Mixed Signal Verification Transistor to SoC

Modeling and Verifying Mixed-Signal Designs with MATLAB and Simulink

Extending Digital Verification Techniques for Mixed-Signal SoCs with VCS AMS September 2014

THE DESIGN ENVIRONMENT FOR HETEROGENEOUS SYSTEMS

Virtuoso Layout Suite XL

Single Vendor Design Flow Solutions for Low Power Electronics

RTL Coding General Concepts

01 1 Electronic Design Automation (EDA) the correctness, testability, and compliance of a design is checked by software

Status Report IBIS 4.1 Macro Working Group

AMS DESIGN METHODOLOGY

MODELING LANGUAGES AND ABSTRACT MODELS. Giovanni De Micheli Stanford University. Chapter 3 in book, please read it.

SystemC Modelling of the Embedded Networks

ISE Design Suite Software Manuals and Help

A Systematic Approach to Creating Behavioral Models CDNLive, March, 2015 Bob Peruzzi, Joe Medero

MODELING PHASE-LOCKED LOOPS USING VERILOG

ASIC Physical Design Top-Level Chip Layout

תכן חומרה בשפת VERILOG הפקולטה להנדסה

Expert Layout Editor. Technical Description

An overview of standard cell based digital VLSI design

Concurrent, OA-based Mixed-signal Implementation

Overview of Digital Design Methodologies

ELEC 301 Lab 2: Cadence Basic

Hardware Design Verification: Simulation and Formal Method-Based Approaches William K Lam Prentice Hall Modern Semiconductor Design Series

Warren Anderson Ravi Ram AMD Vijay Akkaraju Synopsys

Serial Adapter for I 2 C / APFEL and 8 channel DAC ASIC

Allegro Design Authoring

Comprehensive AMS Verification using Octave, Real Number Modelling and UVM

For a long time, programming languages such as FORTRAN, PASCAL, and C Were being used to describe computer programs that were

Hardware description language (HDL)

REAL VALUE MODELING FOR IMPROVING THE VERIFICATION PERFORMANCE

CS250 DISCUSSION #2. Colin Schmidt 9/18/2014 Std. Cell Slides adapted from Ben Keller

Design and Verification of FPGA and ASIC Applications Graham Reith MathWorks

electronic lab 11 Fedora Electronic Lab empowers hardware engineers and universities with opensource solutions for micro nano electronics engineering.

Testing & Verification of Digital Circuits ECE/CS 5745/6745. Hardware Verification using Symbolic Computation

EEL 5722C Field-Programmable Gate Array Design

Evolution of CAD Tools & Verilog HDL Definition

CHAPTER 1 INTRODUCTION

Efficient Modeling and Verification of Analog/Mixed-Signal Circuits

Agenda. Presentation Team: Agenda: Pascal Bolzhauser, Key Developer, Lothar Linhard, VP Engineering,

Mentor Graphics Solutions Enable Fast, Efficient Designs for Altera s FPGAs. Fall 2004

Model Connection Protocol extensions for Mixed Signal SiP

Analog Behavior Refinement in System Centric Modeling

Virtuoso Custom Design Platform GXL. Open Database. PDKs. Constraint Management. Customer IP

Will Silicon Proof Stay the Only Way to Verify Analog Circuits?

Making the Most of your MATLAB Models to Improve Verification

CO SIMULATION OF GENERIC POWER CONVERTER USING MATLAB/SIMULINK AND MODELSIM

Simulation and Modeling for Signal Integrity and EMC

Announcements. Midterm 2 next Thursday, 6-7:30pm, 277 Cory Review session on Tuesday, 6-7:30pm, 277 Cory Homework 8 due next Tuesday Labs: project

ECE 4514 Digital Design II. Spring Lecture 20: Timing Analysis and Timed Simulation

THE DESIGNER S GUIDE TO VERILOG-AMS

DATASHEET ENCOUNTER LIBRARY CHARACTERIZER ENCOUNTER LIBRARY CHARACTERIZER

Trends and Challenges

DE2 Board & Quartus II Software

An Overview of Standard Cell Based Digital VLSI Design

Review: Performance Latency vs. Throughput. Time (seconds/program) is performance measure Instructions Clock cycles Seconds.

Topics. Verilog. Verilog vs. VHDL (2) Verilog vs. VHDL (1)

structure syntax different levels of abstraction

Here is a list of lecture objectives. They are provided for you to reflect on what you are supposed to learn, rather than an introduction to this

ECE331: Hardware Organization and Design

EE 4755 Digital Design Using Hardware Description Languages

Analog Custom Design and Testing Using OCEAN Scripting in Cadence

Logic Synthesis. Logic Synthesis. Gate-Level Optimization. Logic Synthesis Flow. Logic Synthesis. = Translation+ Optimization+ Mapping

Laker 3 Custom Design Tools

HFSS Solver On Demand for Package and PCB Characterization Using Cadence. Greg Pitner

Virtuoso Characterization

Verilog Design Entry, Synthesis, and Behavioral Simulation

When addressing VLSI design most books start from a welldefined

EE 231 Fall EE 231 Lab 2

Lecture 1: Introduction Course arrangements Recap of basic digital design concepts EDA tool demonstration

CSE140 L. Instructor: Thomas Y. P. Lee January 18,2006. CSE140L Course Info

Functional Programming in Hardware Design

Accelerating FPGA/ASIC Design and Verification

IOT is IOMSLPT for Verification Engineers

Cell-Based Design Flow. 林丞蔚

Gerhard Noessing, Villach

ANALOG IP WITH INTELLIGENT IP FROM SYSTEM TO SILICON

HFSS Solver-On-Demand for Package and PCB Characterization Using Cadence Greg Pitner

Analog, Mixed-Signal, and Advanced-Node Custom Design Scalability, Convergence and Throughput

Mixed Signal Verification of an FPGA-Embedded DDR3 SDRAM Memory Controller using ADMS

High-speed Serial Interface

EE 4755 Digital Design Using Hardware Description Languages

Lab. Course Goals. Topics. What is VLSI design? What is an integrated circuit? VLSI Design Cycle. VLSI Design Automation

Hardware/Software Co-design

Synthesis and APR Tools Tutorial

Transcription:

Short Course On Phase-Locked Loops and Their Applications Day 3, PM Lecture Behavioral Simulation Exercises Michael H Perrott August 13, 2008 Copyright 2008 by Michael H. Perrott All rights reserved.

A Modern Analog Custom IC A 2.5 Gb/s CDR for high speed links - Analog amplification and phase sensing - Digital filtering and calibration - RF clock generation (2.5 GHz) How do we design such chips? 2

Simplified View of a Top Down, Mixed-Signal Design Flow System Design Architecture High Level Investigation & Analysis Schematic Creation Circuit Design Analog Circuit Design Digital Creation Place & Route Extracted Layout Creation PVT Corners Monte Carlo Circuit Verification Analog Circuit Verification Digital Digital Test Vectors Timing Checks System Verification Circ. & Arch. System Level Test Vectors 3

The Many Simulation Tools Involved Popular Tools System Design Architecture Matlab/Simulink ADS Circuit Design Analog Circuit Design Digital SPICE Verilog/VHDL Circuit Verification Analog Circuit Verification Digital Verilog AMS AMS Designer System Verification Circ. & Arch.???????? 4

Goal: Create a Universal Simulator System Designer Analog Designer Digital Designer Managing Kernel SPICE Matlab Verilog VHDL The challenges of developing the Managing Kernel - Difficult to match up simulator signals at their boundaries without overly complicating the life of the user - Difficult to maintain fast simulation speed - Difficult to retrain designers on a new tool with a new flow 5

A Different Approach Look for commonality among simulators to allow universal simulation models to be used - C++ provides one such hook Allow designers to use their tool of choice while sharing universal simulation models SPICE Matlab Verilog VHDL C/C++ PLI Calls (Verilog AMS & AMS Designer) C/C++ Mex functions C/C++ PLI Calls Key idea: bootstrap into existing simulators 6

The VppSim Simulator Greatly Simplifies This Process Cadence Schematic Entry C++ primitive library Simulation parameter file Graphically driven generation of simulator blocks based on a C++ primitive library Automatic wrapper generation Verilog PLI Calls Verilog PLI Mex & Gen. Function AMS Designer Matlab NCVerilog Analog Designer System Designer Digital Designer 7

C++ Can Also Be Directly Simulated Cadence Schematic Entry C++ primitive library Simulation parameter file Automatic C++ system generation Automatic wrapper generation CppSim Advantage: - Very fast! Verilog PLI Calls Verilog PLI Mex & Gen. Function AMS Designer Matlab NCVerilog Analog Designer System Designer Digital Designer 8

CppSim Compiler Simulation File Time step value Number of sim steps Probing of signals C++ Simulation Program SPICE Netlist Topology of system - Generated by Cadence or Sue2 9

VppSim Compiler (for NCVerilog) Verilog Wrapper for Module Verilog PLI function calls Embedded C++ Class Object Simulation File Time step value Number of sim steps Probing of signals Verilog Wrapper for Module Verilog PLI function calls Embedded C++ Class Object Native Verilog Modules ASCII file descriptions Verilog Simulation Program Verilog Wrapper for Module Verilog PLI function calls Embedded C++ Class Object SPICE Netlist Topology of system - Generated by Cadence All CppSim modules supported (including vector signals) Same timing approach for module execution as CppSim 10

VppSim Modules for AMS Verilog Wrapper for Module Verilog PLI function calls Embedded C++ Class Object Verilog Wrapper for Module Verilog PLI function calls Embedded C++ Class Object AMS Simulation Program Verilog Wrapper for Module Verilog PLI function calls Embedded C++ Class Object Not all CppSim modules are supported (i.e., no vector signals) Different timing approach for module execution 11

Example with Double Signals CppSim module module: leadlagfilter parameters: double fz, double fp, double gain inputs: double in outputs: double out static_variables: classes: Filter filt("1+1/(2*pi*fz)s", "C3*s + C3/(2*pi*fp)*s^2", "C3,fz,fp,Ts",1/gain,fz,fp,Ts); init: code: filt.inp(in); out = filt.out; ////// Auto-generated from CppSim module ////// module leadlagfilter(in, out); parameter fz = 0.00000000e+00; parameter fp = 0.00000000e+00; parameter gain = 0.00000000e+00; input in; output out; wreal in; real in_rv; wreal out; real out_rv; VppSim module assign out = out_rv; initial begin assign in_rv = in; end always begin #1 $leadlagfilter_cpp(in_rv,out_rv,fz,fp,gain); end endmodule 12

The Issue of Timing/Ordering (1) Best Execution Order Execution Order A B C A E B C D E Delay D (2) Impact of Alternate Ordering Arrangement Execution Order A B Delay C A E C B D E Delay D Ordering influences delays CppSim blocks are ordered automatically or by user 13

Cadence Interface Choose between CppSim, VppSim (for NCVerilog), and AMS with VppSim modules CppSim and VppSim run in above window or in Matlab 14

Windows Version of CppSim Will be used in class today Available for free download at http://www.cppsim.com Initial part of this session: fractional-n example Remaining part of this session: example of your choice 15

Windows Interface 16